CN101994101B - 成膜装置 - Google Patents

成膜装置 Download PDF

Info

Publication number
CN101994101B
CN101994101B CN201010250341.6A CN201010250341A CN101994101B CN 101994101 B CN101994101 B CN 101994101B CN 201010250341 A CN201010250341 A CN 201010250341A CN 101994101 B CN101994101 B CN 101994101B
Authority
CN
China
Prior art keywords
gas
mentioned
wafer
rotary table
nozzle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201010250341.6A
Other languages
English (en)
Other versions
CN101994101A (zh
Inventor
加藤寿
菊地宏之
牛窪繁博
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101994101A publication Critical patent/CN101994101A/zh
Application granted granted Critical
Publication of CN101994101B publication Critical patent/CN101994101B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种成膜装置,使旋转工作台旋转,使BTBAS气体吸附在晶圆(W)上,接着向晶圆(W)表面供给O3气体,使其与吸附在晶圆(W)表面的BTBAS气体发生反应而形成氧化硅膜时,在形成氧化硅膜之后,由活化气体喷射器对晶圆(W)上的氧化硅膜供给Ar气体的等离子体,在每个成膜循环都进行改性处理。

Description

成膜装置
技术领域
本发明涉及通过依次向基板表面供给至少两种反应气体且多次进行该供给循环来层叠反应生成物的层从而形成薄膜的成膜装置。
背景技术
作为半导体制造工艺中的成膜方法,公知有这样的工艺:在真空气氛下使第1反应气体吸附在作为基板的半导体晶圆(以下称为“晶圆”)等表面之后,将供给的气体切换为第2反应气体,利用两气体的反应形成1层或多层的原子层、分子层,通过多次进行该循环而层叠这些层,向基板上进行成膜。该工艺例如被称为ALD(Atomic Layer Deposition)和MLD(Molecular Layer Deposition)等(以下称为ALD法),该工艺能够根据循环数高精度控制膜厚,并且膜质的面内均匀性也良好,是能应对半导体器件的薄膜化的有效的方法。在该成膜方法中,也能够在比以往所使用的CVD(Chemical VaporDeposition)法低的温度的条件下形成薄膜,例如能够以650℃以下的成膜温度形成氧化硅膜(SiO2膜)。
为了以较短时间进行这样多次循环的成膜方法,公知有例如专利文献1~专利文献8记载的装置。关于这些装置进行概略地说明,在该装置的真空容器内设有:载置台,其用于沿周向(旋转方向)并列地载置多张晶圆;多个气体供给部,其用于对该载置台上的晶圆供给处理气体(反应气体)。而且,将晶圆载置在载置台上并进行加热,并且使载置台和上述的气体供给部绕铅垂轴线相对地旋转。此外,从多个气体供给部向晶圆表面例如分别供给上述的第1反应气体和第2反应气体,并且在供给反应气体的气体供给部之间设置物理的分隔壁,或将惰性气体作为气帘喷出,由此,在真空容器内划分出由第1反应气体所形成的处理区域和由第2反应气体所形成的处理区域。
这样,向共同的真空容器内同时供给多种反应气体,但是为了不使这些反应气体在晶圆上混合,而划分出各处理区域,所以隔着上述的分隔壁、气帘将例如第1反应气体和第2反应气体依次供给到载置台上的晶圆。因此,例如每次切换向真空容器内供给的反应气体的种类时无需置换真空容器内的气氛,还能高速切换向晶圆供给的反应气体,所以能够迅速地利用上述方法来进行成膜处理。
另一方面,利用上述的ALD(MLD)法进行薄膜的成膜时,由于成膜温度低,所以有时例如反应气体中所含有的有机物、水分等杂质被混入薄膜中。为了从膜中向外部排出这样的杂质而形成致密且杂质少的薄膜,需要对晶圆进行例如加热到几百℃左右的退火处理(热处理)、等离子处理等后处理,然而,在层叠薄膜之后进行该后处理时,由于工序增加,所以造成成本增加。因此,也考虑在真空容器内进行这些后处理的方法,但是在该情况下,为了使后处理不对在上述的各处理区域所进行的处理带来不良影响,需要划分出各处理区域和进行后处理的区域。因此,使进行后处理的区域与各处理区域相同地相对于载置台相对旋转,但是在例如作为后处理而进行等离子处理的情况下,由于上述的相对旋转,真空容器内的气流紊乱,局部产生等离子体,有可能在晶圆的面内无法进行均匀的后处理。在该情况下,薄膜的膜厚和膜质在面内产生不均匀。
专利文献1:美国专利公报7,153,542号:图6的(a)、图6的(b)
专利文献2:日本特开2001-254181号公报:图1、图2
专利文献3:日本专利3144664号公报:图1、图2,权利要求1
专利文献4:日本特开平4-287912号公报
专利文献5:美国专利公报6,634,314号
专利文献6:日本特开2007-247066号公报:0023~0025、0058段,图12和图20
专利文献7:美国专利公开公报2007-218701号
专利文献8:美国专利公开公报2007-218702号
发明内容
本发明是鉴于这样的情况而做成的,提供一种成膜装置、成膜方法和用于使成膜装置实施成膜方法的计算机可读取的存储介质,该成膜装置、成膜方法通过将基板载置在真空容器内的工作台上的基板载置区域,依次向基板供给至少两种反应气体,并且多次执行该供给循环,层叠反应生成物的层而形成薄膜时,形成致密且杂质少、并且在基板的面内膜厚和膜质均质的薄膜。
本发明的第1技术方案提供一种成膜装置,该成膜装置通过将基板载置在真空容器内的工作台上的基板载置区域,依次向基板供给至少两种反应气体,并且多次执行该供给循环,层叠反应生成物的层而形成薄膜。该成膜装置包括:第1反应气体供给部件,其用于向上述基板供给第1反应气体;第2反应气体供给部件,其用于向上述基板供给第2反应气体;活化气体喷射器,其用于使含有放电气体和电子亲和力大于该放电气体的添加气体的处理气体活化而在整个上述基板载置区域中的上述工作台中心侧的内缘和上述工作台外周侧的外缘之间生成等离子体,利用生成的等离子体对上述基板上的反应生成物进行改性处理;旋转机构,其用于使上述第1反应气体供给部件、上述第2反应气体供给部件以及上述活化气体喷射器和上述工作台相对地旋转。上述第1反应气体供给部件、上述第2反应气体供给部件和上述活化气体喷射器被配置成在上述相对地旋转时按照上述第1反应气体供给部件、上述第2反应气体供给部件和上述活化气体喷射器这样的顺序位于基板所处的位置。
上述活化气体喷射器优选包括:一对平行电极,其从上述基板载置区域的内缘延伸到外缘;气体供给部,其向该平行电极之间供给上述处理气体。
上述活化气体喷射器优选还包括:覆盖体,其覆盖上述平行电极和上述气体供给部,并且下部开口;气流限制部,其是使该覆盖体的沿长度方向延伸的侧面的下缘部向外侧弯曲成凸缘状而形成的。
上述放电气体是从氩气、氦气、氨气、氢气、氖气、氪气、氙气和氮气中选择的气体;
上述添加气体优选是从氧气、臭氧气体、氢气和H2O(纯水)气体中选择的气体。
本发明的第2技术方案提供一种成膜方法,该成膜方法通过将基板载置在真空容器内的工作台上的基板载置区域,依次向基板供给至少两种反应气体,并且多次执行该供给循环,层叠反应生成物的层而形成薄膜。该成膜方法包括以下工序:将基板载置在上述工作台上的上述基板载置区域;接着,从第1反应气体供给部件向上述工作台上的基板的表面供给第1反应气体,而使该第1反应气体吸附于基板的表面上的吸附工序;接着,从第2反应气体供给部件向上述工作台上的基板的表面供给第2反应气体,而生成反应生成物的工序;然后,利用活化气体喷射器使含有放电气体和电子亲和力大于该放电气体的添加气体的处理气体活化而在整个上述基板载置区域中的上述工作台中心侧的内缘和上述工作台外周侧的外缘之间生成等离子体,利用生成的等离子体对上述基板上的反应生成物进行改性处理。通过使上述第1反应气体供给部件、上述第2反应气体供给部件和上述活化气体喷射器与上述工作台相对旋转,按照上述吸附工序、上述生成反应生成物的工序和进行上述改性处理的工序这样的顺序多次进行上述吸附工序、上述生成反应生成物的工序和上述改性处理的工序。
本发明的第3技术方案提供一种计算机可读取的存储介质,该计算机可读取的存储介质存储应用于成膜装置的计算机程序,该成膜装置通过将基板载置在真空容器内的工作台上的基板载置区域,依次向基板供给至少两种反应气体,并且多次执行该供给循环,从而层叠反应生成物的层而形成薄膜。上述计算机程序为了实施上述成膜方法而编辑有步骤。
附图说明
图1是表示本发明实施方式的成膜装置的纵截面的图3的I-I’纵剖视图。
图2是表示上述成膜装置内部的概略构成的立体图。
图3是上述成膜装置的横截俯视图。
图4是表示上述成膜装置内部的一部分的概略构成的立体图。
图5是表示上述成膜装置内部的一部分的概略构成的纵剖视图。
图6是表示分离气体或吹扫气体的流动状况的说明图。
图7是表示设于上述成膜装置的活化气体喷射器的一个例子的立体图。
图8是表示上述活化气体喷射器的成膜装置的纵剖视图。
图9是表示上述活化气体喷射器的周围的气体流动的示意图。
图10是表示上述活化气体喷射器的气体导入喷嘴的安装方法的概略图。
图11是表示上述成膜装置的气体流动的示意图。
图12是表示上述分离区域的概略图。
图13是表示上述成膜装置的其他的例子的纵剖视图。
图14是表示上述成膜装置的其他的例子的纵剖视图。
图15是表示上述的其他的例子的成膜装置的俯视图。
图16是表示上述的其他的例子的成膜装置的立体图。
图17是表示上述的其他的例子的成膜装置的纵剖视图。
图18是概略地表示具有上述成膜装置的基板处理装置的一个例子的俯视图。
图19是由本发明的实施例所获得的特性图。
图20是由本发明的实施例所获得的特性图。
图21是由本发明的实施例所获得的特性图。
图22是由本发明的实施例所获得的特性图。
图23是由本发明的实施例所获得的特性图。
具体实施方式
根据本发明的实施方式,通过将基板载置在真空容器内的工作台上的基板载置区域,并且使工作台和分别供给至少两种反应气体的多个反应气体供给部件相对地旋转,依次对基板供给上述至少两种反应气体,并且多次执行该供给循环,层叠反应生成物的层而形成薄膜,此时,通过使上述工作台、用于使第1反应气体吸附在基板表面的第1反应气体供给部件、用于供给与吸附在基板表面上的第1反应气体反应而生成反应生成物的第2反应气体的第2反应气体供给部件、以及活化气体喷射器相对地旋转,按照第1反应气体的吸附、反应生成物的生成和上述反应生成物的改性处理这样这样的顺序进行多次第1反应气体的吸附、反应生成物的生成和上述反应生成物的改性处理,该活化气体喷射器用于使含有放电气体和电子亲和力大于该放电气体的添加气体的处理气体活化,在整个上述基板载置区域中的上述工作台中心侧的内缘和上述工作台外周侧的外缘之间生成等离子体,进行上述基板上的反应生成物的改性处理。因此,由于能够利用上述添加气体抑制等离子体的局部产生,在基板的整个面内均匀地进行改性处理,所以能够获得致密且杂质少、而且面内膜厚和膜质均质的薄膜。
接着,一边参照附图一边说明用于实施本发明的最佳实施方式。
如图1(沿着图3的I-I’线的剖视图)所示,作为本发明的实施方式的成膜装置包括:俯视形状是大致圆形的扁平的真空容器1;例如由碳构成的旋转工作台2,其设于该真空容器1内,在真空容器1的中心具有旋转中心。真空容器1构成为顶板11能从容器主体12分离。顶板11利用内部的减压状态,夹着设于容器主体12的上端面的密封构件例如O型密封圈13被向容器主体12侧按压,维持气密状态,然而在将顶板11从容器主体12分离时,利用未图示的驱动机构向上方抬起。
旋转工作台2的中心部被固定在圆筒形状的芯部21上,该芯部21被固定在沿铅垂方向延伸的旋转轴22的上端。旋转轴22贯穿真空容器1的底面部14,其下端被安装在使旋转轴22绕铅垂轴线在该例子中沿顺时针方向旋转的驱动部23上。旋转轴22和驱动部23被收纳在上表面开口的筒状壳体20内。该壳体20的设于其上表面的凸缘部分气密地安装在真空容器1的底面部14的下表面,维持壳体20的内部气氛和外部气氛的气密状态。
如图2和图3所示,在旋转工作台2的表面部,沿着旋转方向(周向)设有用于载置多张例如5张作为基板的半导体晶圆(以下称为“晶圆”)W的圆形状的凹部24。另外,在图3中,为了方便说明,仅在1个凹部24上描绘了晶圆W。该凹部24的直径稍大于晶圆W的直径,例如大4mm,此外,该凹部24的深度被设定为与晶圆W的厚度同等的大小。因此,将晶圆W载置在凹部24中时,晶圆W的表面和旋转工作台2的表面(未载置晶圆W的区域)构成为大致同一个面。若晶圆W的表面和旋转工作台2的表面之间的高度差大,则在台阶部分产生压力变动,所以从使膜厚的面内均匀性一致的观点出发,优选使晶圆W的表面和旋转工作台2的表面的高度一致。所谓使晶圆W的表面和旋转工作台2的表面的高度一致,是指高度相同或两面的高度差在5mm以内,然而优选根据加工精度等尽可能地使两面的高度的差趋近于零。在凹部24的底面形成有通孔(未图示),该通孔供用于支承晶圆W的背面而使晶圆W升降的例如后述3根升降销贯穿。
凹部24用于对晶圆W进行定位,防止晶圆W由于随着旋转工作台2的旋转而产生的离心力而飞出,其相当于基板载置区域,但是基板载置区域(晶圆载置区域)不限于凹部,例如也可以是在旋转工作台2的表面沿着周向排列多个引导晶圆W的周缘的引导构件的结构。此外,在旋转工作台2侧具有静电吸盘等吸盘机构而吸附晶圆W的情况下,利用该吸附载置有晶圆W的区域相当于基板载置区域。在图2、图3等中省略描绘,但是如图4所示,在上述的凹部24的周围,在每个凹部24的多个部位形成有为了将晶圆W载置在凹部24内或从凹部24取出晶圆W而利用的凹坑202。
如图2和图3所示,在与旋转工作台2的凹部24的通过区域相对的位置,分别沿真空容器1的周向(旋转工作台2的旋转方向)互相隔开间隔地呈放射状配置有例如由石英构成的第1反应气体喷嘴31和第2反应气体喷嘴32、2根分离气体喷嘴41、42、活化气体喷射器220。在该例子中,从后述的输送口15看来,沿顺时针(旋转工作台2的旋转方向)依次排列有活化气体喷射器220、分离气体喷嘴41、第1反应气体喷嘴31、分离气体喷嘴42和第2反应气体喷嘴32。活化气体喷射器220和喷嘴31、32、41、42被安装成例如从真空容器1的外周壁朝向旋转工作台2的旋转中心与晶圆W相对地水平延伸。作为各喷嘴31、32、41、42的基端部的气体导入部31a、32a、41a、42a贯穿真空容器1的外周壁。此外,在该例子中,为了以沿着第1反应气体喷嘴31的长度方向、从两侧面侧和上表面侧覆盖第1反应气体喷嘴31的方式抑制N2气体等向第1反应气体喷嘴31附近进入,此外为了延长晶圆W暴露于从第1反应气体喷嘴31喷出的气体(BTBAS气体)中的时间,设有与后述的覆盖体221相同的结构的气流限制构件250。关于该气流限制构件250,与该覆盖体221的说明一起详述。这些反应气体喷嘴31、32分别相当于第1反应气体供给部件、第2反应气体供给部件,分离气体喷嘴41、42相当于分离气体供给部件。
在图示的例子中,反应气体喷嘴31、32、活化喷射器220和分离气体喷嘴41、42从真空容器1的周壁部被导入真空容器1内,但是也可以从后述的环状的突出部5导入。在该情况下,设有在突出部5的外周面和顶板11的外表面开口的L字型的导管,能够在真空容器1内,将反应气体喷嘴31(反应气体喷嘴32、活化喷射器220、分离气体喷嘴41、42)与L字型的导管的一方的开口连接,在真空容器1的外部,将气体导入部31a(32a、41a、42a)和后述的气体导入部34a与L字型的导管的另一方的开口连接。
第1反应气体喷嘴31和第2反应气体喷嘴32分别经由未图示的流量调整阀等,分别与作为第1反应气体的BTBAS(双叔丁基氨基硅烷、SiH2(NH-C(CH332)气体的气体供给源和作为第2反应气体的O3(臭氧)气体的气体供给源(均未图示)连接,分离气体喷嘴41、42均经由流量调整阀等与作为分离气体的N2气体(氮气体)的气体供给源(未图示)连接。
在第1反应气体喷嘴31和第2反应气体喷嘴32上,朝向下方或正下方地分别沿着第1反应气体喷嘴31和第2反应气体喷嘴32的长度方向隔开例如10mm的间隔地等间隔排列有用于朝向下方侧喷出反应气体的例如口径为0.5mm的气体喷出孔33。此外,在分离气体喷嘴41、42上,朝向下方或正下方地沿着长度方向隔开例如10mm左右的间隔地排列有用于朝向下方侧喷出分离气体的例如口径为0.5mm的气体喷出孔40。第1反应气体喷嘴31和第2反应气体喷嘴32的气体喷出孔33与晶圆W之间的距离是例如1~4mm,优选是2mm,分离气体喷嘴41、42的气体喷出孔40与晶圆W之间的距离是例如1~4mm,优选是3mm。第1反应气体喷嘴31的下方区域相当于用于使BTBAS气体吸附于晶圆W的第1处理区域P1,第2反应气体喷嘴32的下方区域相当于用于使O3气体吸附于晶圆W而使BTBAS气体氧化的第2处理区域P2。
分离气体喷嘴41、42形成用于分离第1处理区域P1和第2处理区域P2的分离区域D。如图2和图3所示,在该分离区域D中的真空容器1的顶板11上设有向下方突出的凸状部4,该凸状部4以旋转工作台2的旋转中心为中心,且具有沿着周向分割沿真空容器1的内周壁附近描绘的圆而成的扇形的俯视形状。分离气体喷嘴41、42被收纳于该凸状部4上的在圆的周向中央沿径向延伸地形成的槽部43内。即,从分离气体喷嘴41、42的中心轴线到作为凸状部4的扇形的两缘(旋转方向上游侧的缘和下游侧的缘)的距离被设定为相同的长度。
另外,在本实施方式中,槽部43以使凸状部4二等分的方式形成,但是在其他的实施方式中,例如也可以以相对于槽部43而使凸状部4的旋转工作台2的旋转方向上游侧宽于旋转方向下游侧的方式形成槽部43。
因此,在分离气体喷嘴41、42的周向两侧,存在作为凸状部4的下表面的例如平坦的低的顶面44(第1顶面),在该顶面44的周向两侧,存在比顶面44高的顶面45(第2顶面)。凸状部4阻止第1反应气体和第2反应气体进入凸状部4与旋转工作台2之间的空间,形成用于阻止这些反应气体混合的作为狭窄的空间的分离空间。
即,以分离气体喷嘴41为例,阻止O3气体从旋转工作台2的旋转方向上游侧进入,还阻止BTBAS气体从旋转方向下游侧进入。所谓“阻止气体进入”是指从分离气体喷嘴41喷出的作为分离气体的N2气体在第1顶面44与旋转工作台2表面之间扩散,在该例子中,吹到与顶面44相邻的顶面45的下方的空间(相邻空间),由此,来自相邻空间的气体无法进入分离空间。而且,所谓“气体无法进入”,并非只是指完全无法从相邻空间进入凸状部4的下方侧空间的情况,也指多少进入,但能够确保从两侧分别进入的O3气体和BTBAS气体不在凸状部4内互相混合的状态的情况,只要能够取得这样的作用,就能发挥作为分离区域D的作用的第1处理区域P1的气氛和第2处理区域P2的气氛的分离作用。因此,狭窄空间的狭窄程度被设定为,狭窄的空间(凸状部4的下方空间)同与狭窄的空间相邻的区域(在该例子中为第2顶面45的下方空间)的压力差能确保“气体无法进入”的作用那样程度的大小,其具体的尺寸可以说因凸状部4的面积等不同而不同。此外,吸附于晶圆W的气体当然能通过分离区域D内,阻止气体进入是指阻止气相中的气体进入。
在本实施方式中,直径为300mm的晶圆W被用作被处理基板。在该情况下,在凸状部4的从旋转工作台2的旋转中心向外周侧离开140mm的部位(与后述的突出部5的交界部位),周向的长度(与旋转工作台2同心的圆的圆弧的长度)例如是146mm,在晶圆W的载置区域(凹部24)的最外侧部位,凸状部4的周向的长度是例如502mm。另外,在该外侧部位,从分离气体喷嘴41(42)的两侧分别位于左右的凸状部4的周向的长度是246mm。
此外,凸状部4的下表面即顶面44距旋转工作台2表面的高度例如可以是0.5mm~10mm,优选是大约4mm。在该情况下,旋转工作台2的转速例如被设定为1rpm~500rpm。因此,为了确保分离区域D的分离功能,根据旋转工作台2的转速的使用范围等,例如基于实验等设定凸状部4的大小、凸状部4的下表面(第1顶面44)和旋转工作台2表面之间的高度。另外,作为分离气体,不限于氮气(N2),而能用氩气(Ar)等惰性气体等,但是不限于这样的气体,也可以是氢气(H2)等,只要是不对成膜造成影响的气体,对于气体的种类没有特别限定。
另一方面,如图5、图6所示,在顶板11的下表面,与旋转工作台2的比芯部21靠外周侧的部位相对且沿着芯部21的外周设有突出部5。如图5所示,该突出部5与凸状部4的旋转中心侧的部位连续地形成,其下表面和凸状部4的下表面(顶面44)形成为相同的高度。图2和图3是在比顶面45低且比分离气体喷嘴41、42高的位置水平地切断顶板11的剖视图。另外,突出部5和凸状部4不限于必须是一体,也可以是独立地形成。
另外,在本实施方式中,由具有槽部43的1张扇形板形成凸状部4,在槽部43内配置分离气体喷嘴41(42),然而,也可以在分离气体喷嘴41(42)的两侧,利用螺栓紧固等将2张扇形板安装在顶板11的下表面。
在本实施方式中,在真空容器1内,顶面44和比该顶面44高的顶面45沿周向交替设置。图1表示设有高的顶面45的区域的纵截面,图5表示设有低的顶面44的区域的纵截面。如图2和图5所示,扇形的凸状部4的周缘部(真空容器1的外缘侧的部位)与旋转工作台2的外端面相对地弯曲成L字型而形成弯曲部46。扇形的凸状部4设于顶板11侧,能从容器主体12摘下,所以在弯曲部46的外周面和容器主体12之间存在微小的间隙。该弯曲部46也是为了和凸状部4相同地防止反应气体从两侧进入来阻止两反应气体的混合而设置的,弯曲部46的内周面和旋转工作台2的外端面之间的间隙以及弯曲部46的外周面和容器主体12之间的间隙例如被设定为与顶面44距旋转工作台2表面的高度相同的尺寸。在该例子中,能够从旋转工作台2的表面侧区域看到弯曲部46的内周面构成真空容器1的内周壁。
如图5所示,容器主体12的内周壁在分离区域D中与弯曲部46的外周面接近而形成为铅垂面。另一方面,如图1所示,在分离区域D以外的部位,容器主体12的内周壁在例如从与旋转工作台2的外端面相对的部位到底面部14的区间以纵断面形状呈矩形的方式向外方侧凹陷。该凹陷的部分中的与上述的第1处理区域P1和第2处理区域P2连通的区域分别称为第1排气区域E1和第2排气区域E2。如图1和图3所示,在这些第1排气区域E1和第2排气区域E2的底部分别形成有第1排气口61和第2排气口62。如图1所示,第1排气口61和第2排气口62经由各排气管63与作为真空排气部件的例如真空泵64连接。另外,图1中的附图标记65是压力调整部件。
为了使分离区域D的分离作用可靠地发挥作用,如图3所示那样俯视时,第1排气口61和第2排气口62被设于分离区域D的旋转方向两侧。详细而言,从旋转工作台2的旋转中心看来,在第1处理区域P1同与该第1处理区域P1的例如旋转方向下游侧相邻的分离区域D之间形成有第1排气口61,从旋转工作台2的旋转中心看来,在第2处理区域P2同与该第2处理区域P2的例如旋转方向下游侧相邻的分离区域D之间形成有第2排气口62。设定第1排气口61和第2排气口62的位置,使得第1排气口61专用于进行BTBAS气体的排气,第2排气口62专用于进行O3气体的排气。在该例子中,第1排气口61设于第1反应气体喷嘴31以及与第1反应气体喷嘴31的旋转方向下游侧相邻的分离区域D的位于第1反应气体喷嘴31侧的边缘的延长线之间,而且第2排气口62设于第2反应气体喷嘴32以及与该反应气体喷嘴32的旋转方向下游侧相邻的分离区域D的位于第2反应气体喷嘴32侧的边缘的延长线之间。即,第1排气口61设于直线L1与直线L2之间,第2排气口62设于直线L3与直线L4之间,该直线L1为图3中点划线所示的通过旋转工作台2的中心和第1处理区域P1的直线;该直线L2为图3中点划线所示的通过旋转工作台2的中心以及与上述第1处理区域P1的下游侧相邻的分离区域D的上游侧的边缘的直线,该直线L3为该图3中双点划线所示的通过旋转工作台2的中心和第2处理区域P2的直线;该直线L4为图3中双点划线所示的通过旋转工作台2的中心以及与上述第2处理区域P2的下游侧相邻的分离区域D的上游侧的边缘的直线。
在本实施方式中,设有2个排气口61、62,然而例如也可以在第2反应气体喷嘴32和活化气体喷射器220之间设置追加的排气口,合计设置3个排气口。此外,还可以设置合计4个以上的排气口。此外,在图示的例子中,第1排气口61和第2排气口62设于比旋转工作台2低的位置,由此,能够从真空容器1的内周壁和旋转工作台2的周缘之间的间隙进行排气,但是不限定于设于真空容器1的底面部,也可以设于真空容器1的侧壁上。此外,在第1排气口61和第2排气口62设于真空容器1的侧壁上的情况下,也可以设于比旋转工作台2高的位置。由此,旋转工作台2上的气体朝向旋转工作台2的外侧流动,所以与从与旋转工作台2相对的顶面进行排气的情况相比,在能够抑制微粒上扬的方面是有利的。
如图1、图5和图6所示,在旋转工作台2和真空容器1的底面部14之间的空间设有作为加热部件的加热单元7,能够隔着旋转工作台2将旋转工作台2上的晶圆W加热到由工艺制程程序决定了的温度例如300℃。为了划分从旋转工作台2的上方空间到排气区域E1、E2的气氛和载置有加热单元7的气氛,在旋转工作台2的周缘附近的下方侧以沿整周围绕加热单元7的方式设有覆盖构件71。该覆盖构件71的上缘向外侧弯曲成凸缘形状,减小该弯曲面和旋转工作台2的下表面之间的间隙,抑制气体从外方进入覆盖构件71内。
比配置有加热单元7的空间靠近旋转中心的部位的底面部14接近旋转工作台2的下表面的中心部附近、芯部21,从而使该底面部14与旋转工作台2的下表面的中心部附近、芯部21之间形成为狭窄的空间,此外,贯穿底面部14的旋转轴22的通孔的内周面与旋转轴22的间隙也狭窄,这些狭窄的空间与上述壳体20内连通。而且,在壳体20上设有用于向狭窄的空间内供给作为吹扫气体的N2气体而进行吹扫的吹扫气体供给管72。此外,在真空容器1的底面部14,在加热单元7下方侧位置且在周向的多个部位,设有用于吹扫加热单元7的配置空间的吹扫气体供给管73。
通过这样设置吹扫气体供给管72、73,如在图6中以箭头标记表示吹扫气体的气流那样,由N2气体吹扫从壳体20内到加热单元7的配置空间的空间,该吹扫气体从旋转工作台2和覆盖构件71之间的间隙经由排气区域E1、E2被排气口61、62排出。由此防止BTBAS气体或O3气体从上述的第1处理区域P1和第2处理区域P2的一方经由旋转工作台2的下方绕到另一方侧,所以该吹扫气体也发挥了分离气体的作用。
此外,在真空容器1的顶板11的中心部连接有分离气体供给管51,能向顶板11和芯部21之间的空间52供给作为分离气体的N2气体。如图6所示,供给到该空间52的分离气体经由突出部5和旋转工作台2之间的狭窄间隙50,沿着旋转工作台2的晶圆载置区域侧的表面,朝向周缘喷出。因为在由该突出部5围绕的空间中充满分离气体,所以阻止反应气体(BTBAS气体和O3气体)在第1处理区域P1和第2处理区域P2之间经由旋转工作台2的中心部混合。即,该成膜装置可具有中心部区域C,该中心部区域C用于分离第1处理区域P1的气氛与第2处理区域P2的气氛,由旋转工作台2的旋转中心部和顶板11划分而成,被分离气体吹扫并且沿着旋转方向形成有向旋转工作台2的表面喷出分离气体的喷出口。另外,在这里所说的喷出口相当于上述突出部5和旋转工作台2的狭窄间隙50。
而且,如图2、图3所示,在真空容器1的侧壁形成有在外部的输送臂10和旋转工作台2之间进行作为基板的晶圆W的交接的输送口15,该输送口15由未图示的闸阀打开或关闭。此外,在旋转工作台2的晶圆载置区域即凹部24在面对该输送口15的位置与输送臂10之间进行晶圆W的交接,所以在旋转工作台2的下方侧的与交接位置相对应的部位,设有用于贯穿凹部24并从背面顶起晶圆W的交接用的升降销和使该升降销进行升降的升降机构(均未图示)。
接着,说明上述活化气体喷射器220。活化气体喷射器220是例如在每次进行成膜循环(旋转工作台2旋转)时用于利用等离子体对由BTBAS气体和O3气体的反应形成在晶圆W上的氧化硅膜(SiO2膜)进行改性的构件,如图7的(a)所示,包括:用于将等离子体产生用的处理气体供给至真空容器1内的、例如由石英构成的作为气体供给部的气体导入喷嘴34;分别用于将从该气体导入喷嘴34导入的处理气体等离子化的、由石英构成的互相平行的1对套(sheath)管35a、35b。图7中的附图标记37是与套管35a、35b基端侧连接的保护管。
在该套管35a、35b表面涂敷有抗等离子体蚀刻性优异的例如三氧化二钇(氧化钇、Y2O3)膜,膜厚例如为100μm左右。此外,在这些套管35a、35b内,分别穿过有未图示的例如由镍合金构成的电极。如图3所示,从真空容器1的外部的高频电源224经由匹配器225向这些电极供给例如13.56MHz、例如500W以下的高频电力。这些电极在晶圆W的基板载置区域中的旋转工作台2中心侧的内缘部和旋转工作台2外缘侧的外缘部之间平行延伸,构成平行电极。另外,所谓“基板载置区域”是指在晶圆W上堆积膜时在旋转工作台2上载置晶圆W的区域。这些套管35a、35b被配置成分别穿过其内部的电极之间的间隔距离为10mm以下,例如为4.0mm。
图7的(b)中的附图标记221表示覆盖体。覆盖体设置为用于沿着配置有气体导入喷嘴34和套管35a、35b的区域的整个长度方向从两侧面(沿长度方向延伸的侧面)侧和上方侧覆盖该配置有气体导入喷嘴34和套管35a、35b的区域,例如由石英制造该覆盖体。如图8所示,覆盖体221由支承构件223固定在真空容器1的顶板11的多个部位。此外,在图7的(b)和图8中的附图标记222是沿着活化气体喷射器220的长度方向、从覆盖体221的两侧面的下端部朝向外侧呈凸缘状水平延伸的气流限制构件(气流限制面部),如图9所示,为了抑制O3气体和N2气体进入覆盖体221的内部区域,该气流限制面部222形成为,使气流限制面部222的下端面和旋转工作台2的上表面之间的间隙形成得较小,而且,从旋转工作台2的中心部侧越向气流变快的旋转工作台2的外周侧去气流限制面部222的宽度u越宽。另外,图7的(a)表示取下了覆盖体221的状态,图7的(b)表示配置了覆盖体221的外观。
气流限制面部222的下端面和旋转工作台2的上表面之间的间隙t例如被设定为1mm左右。此外,关于气流限制面部222的宽度u举一个例子:晶圆W位于覆盖体221的下方位置时,与旋转工作台2的旋转中心侧的晶圆W的外缘相对的部位的宽度u例如为80mm,与真空容器1的内周壁侧的晶圆W的外缘相对的部位的宽度u例如是130mm。另一方面,在收纳有气体导入喷嘴34和套管35a、35b的部位的覆盖体221的上端面和真空容器1的顶板11的下表面之间的尺寸被设定为20mm以上例如为30mm而大于上述的间隙t。此外,如上所述,在第1反应气体喷嘴31的周围也配置有与该覆盖体221大致相同的结构的气流限制构件250。
如图10所示,在真空容器1的内部,设有用于从下方侧支承保护管37(套管35a、35b)的倾斜调整机构240。该倾斜调整机构240是例如沿着真空容器1的内周壁形成的板状的构件,构成为能够利用例如未图示的螺栓等调整螺纹构件调整上端面的高度位置,并固定在真空容器1的内周壁。因此,通过调整该倾斜调整机构240的上端面的高度位置,保护管37在其基端侧(真空容器1的侧壁侧)被未图示的O型密封圈气密地按压的状态下,旋转工作台2的旋转中心侧的端部上下波动,所以保护管37(套管35a、35b)在旋转工作台2的径向上倾斜。因此,能利用倾斜调整机构240调整例如旋转工作台2的径向的改性程度。如图10所示,也可以使套管35a、35b倾斜,以使晶圆W和套管35a、35b之间的距离例如在旋转工作台2的转速快的外周部侧短于中心侧。
再参照图3,在气体导入喷嘴34基端侧,经由设于真空容器1的外侧的气体导入部34a连接有供给等离子体产生用的处理气体的等离子体气体导入路251的一端侧,该等离子体气体导入路251的另一端侧分支成2路,分别经由各阀252和流量调整部253与储存有用于产生等离子体的等离子体生成气体(放电气体)的等离子体生成气体源254和储存有用于抑制等离子体的产生(连锁)的局部放电抑制用气体(添加气体)的添加气体源255连接。等离子体生成气体例如是Ar(氩)气体、He(氦)气体、NH3(氨)气体、H2(氢)气体、Ne(氖)气体、Kr(氪)气体、Xe(氙)气体、N2(氮)气体或具有氮元素的气体中的任1种或多种气体,在该例子中是Ar气体。此外,等离子体抑制气体也可以是电子亲和力大于上述等离子体生成气体、且难以放电的气体中的至少1种。具体而言,等离子体抑制气体例如可以是O2气体或具有O元素、H元素、F元素和Cl元素等的气体等。在本实施方式中是O2气体。而且,在对晶圆W进行改性处理时,如后所述,为了抑制局部的等离子体的产生,以相对于Ar气体为例如0.5体积%~20体积%那样程度地添加O2气体。另外,在图9中的附图标记341是为了从气体导入喷嘴34朝向套管35a、35b喷出等离子体产生用的处理气体,沿着气体导入喷嘴34的长度方向形成的1个或是多个气体喷出口(气孔)。
以下,说明如上所述作为等离子体产生用的处理气体在使用Ar气体的同时使用O2气体的理由。如上所述,活化气体喷射器220是在每次成膜循环时为了利用等离子体对氧化硅膜进行改性处理而使用的。在使用活化气体喷射器220的情况下,沿着活化气体喷射器220的长度方向,随着时间的经过或由于旋转工作台2的旋转,有时在活化气体喷射器220和晶圆W之间等离子体(放电)的产生局部紊乱。例如有时等离子体密度沿着长度方向不均匀或在长度方向上的一部分的等离子体密度随着时间而变化。通过例如在真空容器1的侧壁设置由石英构成的透过窗,透过由石英构成的透明的覆盖体221,利用目视观察等离子体的发光状态而能够确认到该等离子体的紊乱。
这样的等离子体的紊乱一般认为是由于如下的原因而产生的,即,由于例如图4所示的旋转工作台2的凹坑202、凹部24的侧壁面与晶圆W的外缘之间的间隙或用于固定真空容器1内的构件的未图示螺栓等这样的真空容器1内的凸凹的影响,真空容器1(或活化气体喷射器220)内的气体流动发生紊乱。
此外,一般认为,如上所述,旋转工作台2由导电性的碳构成,套管35a、35b和旋转工作台2之间的距离短,因此,套管35a、35b和旋转工作台2之间容易产生放电。因此,也有时在活化气体喷射器220的长度方向上,或由于旋转工作台2的旋转,因凹坑202、凹部24的影响而使套管35a、35b和旋转工作台2之间的距离产生变化,从而使放电的状态产生变化,等离子体的产生紊乱。此外,因为覆盖体221的气流限制面部222和旋转工作台2之间的间隙t像上述那样非常狭窄,所以有时在间隙t局部产生等离子体。特别是存在Ar气体等惰性气体在狭窄的间隙部中集中而容易局部产生等离子体的倾向。
在这里,如上所述,在套管35a、35b和高频电源224之间设置匹配器225,使等离子体均匀地产生(匹配),但是在旋转工作台2例如以几百rpm的高速旋转时,匹配器225的匹配动作无法跟随等离子体的变化,难以使等离子体的产生均匀化。此外,因为套管35a、35b和晶圆W之间的距离近,所以在像上述那样等离子体的产生紊乱时,在等离子体均匀地扩散前等离子体到达晶圆W,所以晶圆W受到较大的等离子体紊乱的影响。因此,有时改性处理的程度在活化气体喷射器220的长度方向(旋转工作台2的径向)和旋转工作台2的旋转方向上产生偏差,如后述的实施例那样,膜厚、膜质在晶圆W的面内不均匀。
因此,在该实施方式中,在使用容易等离子化的Ar气体的同时,使用具有抑制Ar气体等离子化的连锁的作用的O2气体,从而能够抑制由Ar气体造成的局部放电(等离子化)。
再次参照图1或图3,在该成膜装置中设有用于控制装置整体的动作的由计算机构成的控制部100。在该控制部100的存储器(未图示)内,存储有用于进行后述的成膜处理和改性处理的程序。该程序中编辑有步骤组,从而执行后述的装置的动作,该程序从硬盘、光盘、光磁盘、存储卡、软盘等计算机可读取的存储介质100a安装到控制部100内的存储器内。
接着,说明上述实施方式的作用。首先,打开未图示的闸阀,利用输送臂10将晶圆W从外部经由输送口15交接到旋转工作台2的凹部24内。该交接是通过在凹部24面对输送口15的位置停止时,未图示的升降销经由凹部24的底面的通孔从真空容器底部侧升降而进行的。使旋转工作台2间歇旋转来进行这样的晶圆W的交接,分别将晶圆W载置到旋转工作台2的5个凹部24内。接着,关闭闸阀,利用真空泵64将真空容器1内排气成到达压力之后,以规定的流量从分离气体喷嘴41、42喷出作为分离气体的N2气体,也以规定的流量从分离气体供给管51和吹扫气体供给管72、72喷出N2气体。利用压力调整部件65将真空容器1内调整为预先设置的处理压力,并且一边使旋转工作台2顺时针旋转一边利用加热单元7将晶圆W加热到例如300℃。利用未图示的温度传感器确认到晶圆W的温度成为设定温度之后,从反应气体喷嘴31、32分别喷出BTBAS气体和O3气体,并且从气体导入喷嘴34分别以9.0slm、20slm喷出Ar气体和O3气体,向套管35a、35b之间施加13.56MHz、500W的高频电力。
此时,在活化气体喷射器220中,从气体供给部34a导入的Ar气体和O3气体供给到气体导入喷嘴34,从设于该侧周壁的各气孔341朝向套管35a、35b喷出。然后,在套管35a、35b之间的区域,上述这些等离子体产生用的处理气体被等离子化,但是由于旋转工作台2的旋转,有时使覆盖体221内的气流产生紊乱。此外,套管35a、35b和旋转工作台2之间的距离在套管35a、35b的长度方向上产生差值,或随着时间的经过(旋转工作台2的旋转)而产生变化,从而有时在套管35a(35b)和旋转工作台2之间产生等离子体(放电)。因此,即使欲局部产生等离子体,但是因为在等离子体产生用的处理气体中混合O3气体,所以Ar气体的等离子化的连锁被抑制,等离子体的状态稳定。该稳定生成的等离子体朝向在活化气体喷射器220的下方与旋转工作台2一起移动(旋转)的晶圆W下降。
另一方面,利用旋转工作台2的旋转,BTBAS气体吸附在晶圆W的表面的第1处理区域P1,接着在第2处理区域P2使吸附在晶圆W上的BTBAS气体氧化,从而在晶圆W的表面形成1层或多层氧化硅膜的分子层。在该氧化硅膜中,由于例如BTBAS的残留基,有时含有水分(OH基)、有机物等杂质。而且,在该晶圆W到达活化气体喷射器220的下方区域时,利用上述的等离子体对氧化硅膜进行改性处理。具体而言,例如Ar离子与晶圆W表面碰撞,上述的杂质被从氧化硅膜放出,或氧化硅膜内的元素再排列而实现氧化硅膜的致密化(高密度化)。因此,改性处理后的氧化硅膜如后述的实施例所示,形成为致密化而具有较高的耐湿蚀刻的性能。因为等离子体的状态如上所述那样稳定,所以该改性处理在晶圆W的整个面内均匀地进行,因此,氧化硅膜的膜厚(收缩量)和湿蚀刻速率在晶圆W的面内均匀。这样,由于旋转工作台2的旋转,在每次成膜循环时进行BTBAS气体的吸附、BTBAS气体的氧化和改性处理,依次层叠氧化硅膜,从而形成致密且具有较高的耐湿蚀刻的性能、而且膜厚和上述抗性等膜质在整个面内和晶圆彼此间均匀的薄膜。
此外,在该真空容器1内,因为在活化气体喷射器220和第2反应气体喷嘴32之间未设置分离区域D,所以O3气体和N2气体被旋转工作台2的旋转引导,从上游侧朝向活化气体喷射器220流来。但是,因为如上所述那样以覆盖电极36a、36b和气体导入喷嘴34的方式设置覆盖体221,所以覆盖体221的上方侧的区域比覆盖体221的下方侧(气流限制面部222和旋转工作台2之间的间隙t)的区域大,从上游侧流来的气体难以进入覆盖体221的下方侧。此外,因为朝向活化气体喷射器220流动的气体被旋转工作台2的旋转引导而从上游侧流来,所以从旋转工作台2的径向内周侧越朝向外周侧流速越快,然而,旋转工作台2的外周侧的气流限制面部222的宽度u比内周侧的宽度宽,所以能够抑制气体在活化气体喷射器220的整个长度方向进入覆盖体221的内部。因此,如上述的图9所示,从上游侧朝向活化气体喷射器220流来的气体经由覆盖体221的上方区域而流到下游侧的排气口62。因此,这些O3气体和N2气体几乎不受由于高频电力而造成的活化等影响,所以例如能够抑制NOx等的产生,此外晶圆W也几乎不受这些气体的影响。另外,由于改性处理而从氧化硅膜排出的杂质在之后被气化,与Ar气体和N2气体等一起朝向排气口62被排出。
此时,因为向第1处理区域P1和第2处理区域P2之间供给N2气体,而且向中心部区域C供给作为分离气体的N2气体,所以如图11所示,以BTBAS气体和O3气体不混合的方式排出各气体。此外,在分离区域D,因为弯曲部46和旋转工作台2的外端面之间的间隙如上述那样变窄,所以BTBAS气体和O3气体即使经由旋转工作台2的外侧也不会混合。因此,第1处理区域P1的气氛和第2处理区域P2的气氛实质上完全地被分离,BTBAS气体被排出排气口61,O3气体被排气口62排出。其结果,BTBAS气体和O3气体既不会在气氛中也不会在晶圆W上混合。
此外,在该例子中,在沿着配置有第1反应气体喷嘴31、第2反应气体喷嘴32和活化气体喷射器220的顶面45的下方侧的空间的容器主体12的内周壁,像上述那样内周壁凹陷而空间变大,第1排气口61和第2排气口62位于该大的空间的下方,所以顶面45的下方侧的空间的压力比顶面44的下方侧的狭窄空间和上述中心部区域C的各压力低。
另外,利用N2气体吹扫旋转工作台2的下方侧,所以完全不必担心流入排气区域E的气体穿过旋转工作台2的下方侧,例如完全不必担心BTBAS气体流入O3气体的供给区域。
在这里,记载了处理参数的一个例子,旋转工作台2的转速在以直径300mm的晶圆W为被处理基板的情况下例如为1rpm~500rpm,工艺压力例如为1067Pa(8Torr)、晶圆W的加热温度例如为350℃、BTBAS气体和O3气体的流量例如分别以100sccm和10000sccm、来自分离气体喷嘴41、42的N2气体的流量例如为20000sccm、来自真空容器1的中心部的分离气体供给管51的N2气体的流量例如为5000sccm。此外,对1张晶圆W供给反应气体的循环数、即晶圆W分别通过处理区域P1、P2的次数根据目标膜厚而变化,例如是1000次。
根据上述的实施方式,使旋转工作台2旋转而使BTBAS气体吸附在晶圆W上,接着向晶圆W表面供给O3气体而与吸附在晶圆W表面的BTBAS气体反应而形成氧化硅膜,在形成氧化硅膜之后,从活化气体喷射器220对晶圆W上的氧化硅膜供给Ar气体的等离子体,在每个成膜循环中进行改性处理。因此,能获得在整个膜厚方向上致密且杂质少、而且具有较高的耐湿蚀刻性的薄膜。此时,通过与Ar气体一起供给O2气体而抑制Ar气体的等离子化的连锁,能够在活化气体喷射器220的长度方向且在进行改性处理(成膜处理)的整个时间中抑制等离子体的局部产生。因此,能够在晶圆W的面内和面间均匀地进行改性处理。因此,无论在由于旋转工作台2的旋转,气流像上述那样在覆盖体221的内部区域产生紊乱,或套管35a、35b和旋转工作台2之间的距离在活化气体喷射器220的长度方向上、随着时间的经过而产生变化,由此容易局部产生等离子体的情况下,还是在等离子体源(套管子35a、35b)和晶圆W之间的距离短而使晶圆W容易受到等离子体的不均(局部产生)的影响的情况下,都能够在面内和晶圆之间获得膜质和膜厚较高的均匀性。
此外,在像上述那样以成膜温度为650℃以下这样的低温形成氧化硅膜的情况下,在改性处理前,杂质容易残留在膜中,与在高温下成膜的情况相比,因改性处理而造成的收缩量大,所以能够通过抑制等离子体的局部产生,大大地改善上述的面内和面间的膜质和膜厚的均匀性。此外,在形成氧化硅膜时,作为添加到等离子体产生用的Ar气体中的气体像上述那样使用了O2气体,所以能够抑制来源于添加气体的杂质混入薄膜中或生成副产物的不良影响。
此外,因为能够在接近晶圆W(旋转工作台2)的位置设置例如覆盖体221(气流限制面部222)等构件,所以能提高装置设计上的自由度。在该情况下,能利用覆盖体221抑制从上游侧流来的气体进入覆盖体221的内部,能够抑制这些气体的影响而在成膜循环的中途进行改性处理。因此,例如也可以不在第2反应气体喷嘴32和活化气体喷射器220之间设置专用的分离区域D,所以能够抑制成膜装置的成本地进行改性处理,还能抑制NOx等副生成气体的产生。
此外,在利用活化气体喷射器220进行氧化硅膜的改性处理时,因为能使套管35a、35b倾斜,所以能在套管35a、35b的长度方向上调整与晶圆W之间的距离,因此例如能够使改性的程度在旋转工作台2的径向上一致。
而且,在真空容器1的内部,每次进行成膜循环都会进行改性处理,在旋转工作台2的周向上,晶圆W通过各处理区域P1、P2的路径的中途不与成膜处理干涉地进行改性处理,所以例如能够以比形成薄膜后再进行改性处理的时间短的时间进行改性处理。
此外,因为像上述那样狭窄地设定电极36a、36b的间隔距离,所以即使是在气体的离子化非最佳的高压力范围(成膜处理的压力范围),也能够以低输出使Ar气体活化(离子化)到改性处理所需要的程度。另外,真空容器1内的真空度越高,Ar气体的离子化越迅速地进行,另一方面,例如BTBAS气体的吸附效率降低,因此,考虑成膜效率、改性效率来设定真空容器1内的真空度。此外,如上述那样适当地设定供给到电极36a、36b的高频的电力值,以便不对成膜处理带来不良影响,并且使改性处理迅速地进行。
在上述的例子中,每次进行成膜处理时都进行了改性处理,但是也可以进行多次例如20次的成膜处理(循环)而进行一次改性处理。在该情况下进行改性处理时,具体而言,停止供给BTBAS气体、O3气体和N2气体,从气体导入喷嘴34向活化气体喷射器220供给Ar气体,并且向套管35a、35b供给高频电力。然后,以使5张晶圆W依次通过活化气体喷射器220的下方区域的方式使旋转工作台2旋转例如200次。这样进行了改性处理之后,再次恢复各气体的供给,进行成膜处理,依次反复进行改性处理和成膜处理。在该例子中,也与上述的例子相同地获得致密且杂质浓度低的薄膜。在该情况下,因为进行改性处理时停止供给O3气体和N2气体,所以如上述的图7的(a)所示,也可以不设置覆盖体221。
而且,本实施方式的成膜装置在旋转工作台2的旋转方向上配置多个晶圆W,通过使旋转工作台2旋转而依次通过第1处理区域P1、第2处理区域P2,进行所谓ALD(或MLD),因此能够以高生产率进行成膜处理。而且,在旋转方向上设有在第1处理区域P1和第2处理区域P2之间具有低的顶面的分离区域D,并且从由旋转工作台2的旋转中心部和真空容器1划分成的中心部区域C朝向旋转工作台2的周缘喷出分离气体,反应气体与扩散到分离区域D的两侧的分离气体和从中心部区域C喷出的分离气体一起经由旋转工作台2的周缘和真空容器的内周壁之间的间隙被排出,所以能防止两反应气体的混合,其结果,能进行良好的成膜处理,完全抑制或尽量抑制在旋转工作台2上产生反应生成物,抑制微粒的产生。另外,本发明也能适用于在旋转工作台2上载置1个晶圆W的情况。此外,在上述的例子中,在供给Ar气体的同时供给O2气体时,也可以使O2气体的至少一部分与Ar气体一起等离子化(活化)。
作为用于形成上述的氧化硅膜的处理气体,作为第1反应气体而可以采用BTBAS(双叔丁基氨基硅烷)、DCS[二氯硅烷]、HCD[六氯乙硅烷]、TMA[三甲基铝]、3DMAS[三(二甲氨基)硅烷]、TEMAZr[四(二乙基氨基)锆]、TEMHF[四(乙基甲基氨基)铪]、Sr(THD)2[双(四甲基庚二酮酸)锶]、Ti(MPD)(THD)[(甲基戊二酮酸)双(四甲基庚二酮酸)钛]、单氨基硅烷等,作为氧化这些原料气体的氧化气体的第2反应气体而可以采用水蒸气等。
而且,如在图12的(a)、图12的(b)中以分离气体供给喷嘴41为代表所示,例如在将300mm直径的晶圆W作为被处理基板的情况下,形成分别位于分离气体供给喷嘴41(42)的两侧的狭窄空间的第1顶面44优选在晶圆W的中心WO通过的部位沿着旋转工作台2的旋转方向的宽度L为50mm以上。为了有效地阻止反应气体从凸状部4的两侧进入到凸状部4的下方(狭窄空间),在宽度L较短的情况下,需要与之相对应地缩小第1顶面44和旋转工作台2之间的距离。而且,在将第1顶面44和旋转工作台2之间的距离设定为某个尺寸时,距旋转工作台2的旋转中心越远旋转工作台2的速度就越快,所以为了获得阻止反应气体进入的效果所要求的宽度L距旋转中心越远就越长。从这样的观点进行考察,若晶圆W的中心WO通过的部位的宽度L小于50mm,则需要使第1顶面44和旋转工作台2的距离相当小,所以为了防止在旋转工作台2旋转时旋转工作台2或晶圆W与顶面44碰撞,要求设法尽量抑制旋转工作台2的振动。而且,旋转工作台2的转速越高,反应气体越容易从凸状部4上游侧进入到凸状部4下方侧,因此,若宽度L小于50mm,则不得不降低旋转工作台2的转速,从处理率方面考虑不是上策。因此,宽度L优选是50mm以上,但是即使是50mm以下也并非无法获得本发明的效果。即,宽度L优选是晶圆W的直径1/10~1/1,更优选是大约1/6以上。另外,在图12的(a)中,为了便于图示,省略了凹部24的记载。
此外,在本发明的实施方式中,在分离气体喷嘴41(42)的两侧设有用于形成狭窄的空间的低的顶面(第1顶面)44,然而,即使作为在反应气体喷嘴31、32和活化气体喷射器220的两侧也设置同样低的顶面并使这些顶面连续的结构、即在设有分离气体喷嘴41(42)、反应气体喷嘴31(32)和活化气体喷射器220的部位以外的与旋转工作台2相对的区域整个面设有凸状部4的结构,也能够获得同样的效果。若从另外的角度来看,该构成是分离气体喷嘴41(42)的两侧的第1顶面44扩展到反应气体喷嘴31、32和活化气体喷射器220的例子。在该情况下,分离气体扩散到分离气体喷嘴41(42)的两侧,反应气体扩散到反应气体喷嘴31、32和活化气体喷射器220的两侧,两气体在凸状部4的下方侧(狭窄的空间)合流,并且这些气体从排气口61(62)排出。
在以上的实施方式中,旋转工作台2的旋转轴22位于真空容器1的中心部,对旋转工作台2的中心部和真空容器1的上表面部之间的空间吹扫分离气体,但是本发明的其他的实施方式的成膜装置也可以如图13所示那样地构成。在图13的成膜装置中,真空容器1的中央区域的底面部14向下方侧突出,形成驱动部的收容空间80,并且在真空容器1的中央区域的上表面形成有凹部80a,在真空容器1的中心部,支柱81介于收容空间80的底部和真空容器1的上述凹部80a的上表面之间,防止来自第1反应气体喷嘴31的BTBAS气体和来自第2反应气体喷嘴32的O3气体经由上述中心部互相混合。
关于使旋转工作台2旋转的机构,围绕支柱81地设有旋转套筒82,沿着该旋转套筒82设有环状的旋转工作台2。而且,在收容空间80中设有由电动机83驱动的驱动齿轮部84,利用该驱动齿轮部84,借助形成在旋转套筒82的下部的外周的齿轮部85使旋转套筒82旋转。图13中的附图标记86、87和88是轴承部。此外,将吹扫气体供给管74与收容空间80的底部连接,并且,将用于向凹部80a的侧面和旋转套筒82的上端部之间的空间供给吹扫气体的吹扫气体供给管75与真空容器1的上部连接。在图13中,在左右2个部位记载有用于向凹部80a的侧面和旋转套筒82的上端部之间的空间供给吹扫气体的开口部,但是为了不使BTBAS气体和O3气体经由旋转套筒82的附近区域而混合,优选设计开口部(吹扫气体供给口)的排列数。
在图13的实施方式中,若从旋转工作台2侧看来,凹部80a的侧面和旋转套筒82的上端部之间的空间相当于分离气体喷出孔,而且由该分离气体喷出孔、旋转套筒82和支柱81构成位于真空容器1的中心部的中心部区域。
而且,能够应用实施方式的各种反应气体喷嘴的成膜装置不限定于图1、图2等所示的旋转工作台型的成膜装置。例如也能够将上述实施方式的各反应气体喷嘴应用于这样类型的成膜装置,即代替旋转工作台2而在输送带上载置晶圆W、在互相划分的处理室内输送晶圆W而进行成膜处理的成膜装置,还可以应用于在固定的载置台上1张1张地载置晶圆W而进行成膜的单片式的成膜装置。
此外,上述的各实施方式的成膜装置构成为使旋转工作台2相对于气体供给系统(喷嘴31、32、41、42和活化气体喷射器220)绕铅垂轴线旋转,但是也可以构成为气体供给系统相对于旋转工作台2绕铅垂轴线旋转。即,只要是气体供给系统和旋转工作台2相对地旋转的结构即可。关于这样的具体装置结构,参照图14~图17进行说明。另外,关于与上述成膜装置相同的部位,标注相同的附图标记,省略说明。
在真空容器1内,代替上述的旋转工作台2,配置作为工作台的基座300。该基座300的底面中央与旋转轴22的上端侧连接,在搬入或搬出晶圆W时,能使基座300旋转。在该基座300上,在整个周向上形成有多个(例如5个)上述凹部24。
如图14~图16所示,上述的喷嘴31、32、41、42和活化气体喷射器220被安装在设于基座300的中央部的正上方的扁平的圆盘状的芯部301,基端部贯穿该芯部301的侧壁。芯部301如后所述例如构成为绕铅垂轴线沿逆时针方向旋转,通过使芯部301旋转,能够使各气体供给喷嘴31、32、41、42和活化气体喷射器220在基座300的上方位置旋转。以下,例如从基座300上的某1个晶圆W观察气体供给系统(喷嘴31、32、41、42和活化气体喷射器220)时,将这些喷嘴31、32、41、42和活化气体喷射器220所朝向的方向称为基座300的相对旋转方向下游侧,将喷嘴31、32、41、42和活化气体喷射器220远去的方向称为相对旋转方向上游侧。在该成膜装置中,与上述图1所示的成膜装置同样,以经由分离区域D对各晶圆W依次供给BTBAS气体和O3气体的方式,且以利用BTBAS气体和O3气体而形成有氧化硅膜的晶圆W通过活化气体喷射器220的下方区域的方式,配置各喷嘴31、32、41、42和活化气体喷射器220。另外,图15表示去掉了固定于真空容器1(顶板11和容器主体12)和顶板11的上表面的后述的套筒304之后的状态。
上述的凸状部4固定在上述芯部301的侧壁部,能够与各气体供给喷嘴31、32、41、42和活化气体喷射器220一起在基座300的上方旋转。如图15、图16所示,在芯部301的侧壁部,在各反应气体供给喷嘴31、32的旋转方向上游侧且在设于上游侧的凸状部4和芯部301的接合部的跟前的位置分别设有2个排气口61、62。这些排气口61、62与后述的排气管302连接,发挥从各处理区域P1、P2排出反应气体和分离气体的作用。排气口61、62和上述的例子相同,设于分离区域D的旋转方向两侧,专用于排出各反应气体(BTBAS气体和O3气体)。
如图14所示,芯部301的上表面中央部与圆筒状的旋转筒303的下端部连接,通过使旋转筒303在固定于真空容器1的顶板11上的套筒304内旋转,成为使喷嘴31、32、41、42、活化气体喷射器220和凸状部4与芯部301一起在真空容器1内旋转的结构。活化气体喷射器220的覆盖体221由上述的支承构件223固定在芯部301的侧壁部。芯部301的下侧开口,由芯部301划分空间。在芯部301的侧壁贯穿有反应气体供给喷嘴31、32、34、分离气体供给喷嘴41、42。在该空间中,反应气体供给喷嘴31(图15)与供给BTBAS气体的第1反应气体供给管305(图17)连接;反应气体供给喷嘴32(图15)与供给O3气体的第2反应气体供给管306(图17)连接;反应气体供给喷嘴34(图15)与供给等离子体产生用的处理气体(Ar气体和O2气体)的第3反应气体供给管401(图17)连接;分离气体供给喷嘴41、42分别与供给作为分离气体的N2气体的分离气体供给管307、308连接(为了方便说明,图14中只图示了分离气体供给管307、308)。
反应气体供给管305~306、401如图14中的分离气体供给管307、308所示那样,在芯部301的旋转中心附近、具体而言在后述的排气管302的周围,弯曲成L字状而朝向上方延伸,贯穿芯部301的顶面,在圆筒状的旋转筒303内朝向铅垂上方延伸。此外,从高频电源224向套管35a、35b供给高频电力的电线500(图17)也贯穿芯部301的顶面、并在圆筒状的旋转筒303内朝向铅垂上方延伸。
如图14和图16所示,旋转筒303具有上下2段层叠外径不同的2个圆筒的结构,通过使外径大的上段侧的圆筒的底面卡定于套筒304的上端面,从上表面侧看来,旋转筒303在能够沿周向旋转的状态下插入套筒304内,另一方面,旋转筒303的下端侧贯穿顶板11而与芯部301的上表面连接。另外,在图14中,附图标记312是旋转筒303的盖部,附图标记313是使该盖部312和旋转筒303紧密结合的O型密封圈。
参照图17,在顶板11的上方位置的旋转筒303外周面侧,在上下方向隔开间隔地配置有在外周面的周向的整个面上形成的作为环状流路的气体扩散通路。在本例子中,从上至下依次配置有用于使分离气体(N2气体)扩散的分离气体扩散通路309、用于使BTBAS气体扩散的第1反应气体扩散通路310、用于使O3气体扩散的第2反应气体扩散通路311和用于使等离子体产生用的处理气体扩散的第3反应气体扩散通路402。
在各气体扩散通路309~311、402中,在旋转筒303的整周上设有在旋转筒303的外表面开口的槽320、321、322、403,各种气体分别经由这些槽320、321、322、403供给到各气体扩散通路309~311、402。另一方面,在覆盖旋转筒303的套筒304的与各槽320、321、322、403相对应的高度位置,设有作为气体供给口的气体供给部323、324、325、404,由未图示的气体供给源向这些气体供给部323、324、325、404供给的气体,经由朝向各气体供给部323、324、325、404开口的槽320、321、322、403,被供给到各气体扩散通路309、310、311、402内。
在这里,被插入套筒304内的旋转筒303的外径在旋转筒303能旋转的范围内,形成为尽可能地与套筒304的内径接近的大小,在各气体供给部323、324、325、404的开口部以外的区域中,各槽320、321、322、403形成为被套筒304的内周面堵住的状态。其结果,导入到各气体扩散通路309、310、311、402中的气体仅在气体扩散通路309、310、311、402内扩散,例如能够防止漏出到其他的气体扩散通路309、310、311、402或真空容器1内、成膜装置的外部等。在图14中,附图标记326是用于防止从旋转筒303和套筒304之间的间隙漏气的磁密封件,各气体扩散通路309、310、311、402的上下方都设有上述磁密封件326,将各种气体可靠地密封在气体扩散通路309、310、311、402内。在图14中,为了方便说明,省略了上述磁密封件326的记载。在图17中也省略了磁密封件326的记载。
如图17所示,在旋转筒303的内周面侧,在气体扩散通路309上连接有气体供给管307、308,在各气体扩散通路310、311上分别连接有上述的各气体供给管305、306。此外,在气体扩散通路402上连接有气体供给管401。由此,从气体供给部323供给的分离气体在气体扩散通路309内扩散,经由气体供给管307、308流向喷嘴41、42,此外,从各气体供给部324、325供给的各种反应气体分别在气体扩散通路310、311内扩散,经由气体供给管305、306流向各喷嘴31、32,供给到真空容器1内。此外,从气体供给部404供给的等离子体产生用的处理气体,经由气体扩散通路402和气体供给管401从喷嘴34被供给到真空容器1内。另外,在图17中,为了方便图示省略了后述的排气管302的记载。
在这里,如图17所示,在分离气体扩散通路309上还连接有吹扫气体供给管330,吹扫气体供给管330在旋转筒303内向下方侧延伸,如图14所示,在芯部301内的空间内开口,能对空间内供给N2气体。在这里,例如,如图14所示,芯部301从基座300表面隔开有微小的间隙地支承在旋转筒303上,由于芯部301相对于基座300未固定,所以该芯部301能够自由旋转。但是,若如上述那样基座300和芯部301之间留有间隙,则BTBAS气体或O3气体例如有可能从上述的处理区域P1、P2的一方经由芯部301的下方蔓延到另一方。
因此,使芯部301的内侧为空洞,空洞下侧朝向基座300开放,并且从吹扫气体供给管330向空洞内供给吹扫气体(N2气体),经由间隙向各处理区域P1、P2喷出吹扫气体,从而能防止上述反应气体的蔓延。即,该成膜装置具有中心部区域C,该中心部区域C用于分离处理区域P1、P2的气氛,由基座300的中心部和真空容器1划分而成,该中心部区域C沿着芯部301的旋转方向形成有向基座300表面喷出吹扫气体的喷出口。在该情况下,吹扫气体发挥分离气体的作用,用于防止BTBAS气体或O3气体经由芯部301的下方蔓延另一方。另外,在此所说的喷出口相当于芯部301的侧壁和基座300之间的间隙。
如图14所示,在旋转筒303的上侧的外径大的圆筒部的侧周面上卷绕有驱动带335,利用被配置在真空容器1的上方的作为旋转机构的驱动部336,经由该驱动带335将该驱动部336的驱动力传递到芯部301,由此能使套筒304内的旋转筒303旋转。图14中的附图标记337是在真空容器1的上方位置用于保持驱动部336的保持部。
在旋转筒303内沿着其旋转中心配设有排气管302。排气管302的下端部贯穿芯部301的上表面,并延伸到芯部301内的空间,其下端面被封堵。另一方面,例如图16所示,在芯部301内延伸的排气管302的侧周面上,设有与各排气口61、62连接的排气引入管341、342,从而能够与充满吹扫气体的芯部301内的气氛隔离,并将来自各处理区域P1、P2的排出气体引入排气管302内。另外,像上述那样,在图17中省略排气管302的记载。但是,在图17中记载的各气体供给管305、306、307、308、401和吹扫气体供给管330被配置在排气管302的周围。
如图14所示,排气管302的上端部贯穿旋转筒303的盖部312,与作为真空排气部件的例如真空泵343连接。另外,图14中,附图标记344是将排气管302能旋转地与下游侧的配管进行连接的旋转接头。此外,省略图示,但是上述的供电线500也和该排气管302相同,利用呈环状地形成在旋转接头344的周围的电路即使在旋转时也能从高频电源224供电。
关于使用了该装置的成膜处理的流程,以与上述的实施方式的成膜处理的流程不同的点为主进行以下说明。首先,在将晶圆W输送到真空容器1内时,使基座300间歇性地旋转,通过输送臂10和升降销16的协作,分别将晶圆W载置到5个凹部24上。
然后,对于成膜装置来说,在进行氧化硅膜的成膜处理时,使旋转筒303逆时针旋转。于是,如图17所示,设于旋转筒303的各气体扩散通路309~311、402随着旋转筒303的旋转而旋转,但设于上述气体扩散通路309~311、402的槽320~322、403的一部分分别朝向相对应的气体供给部323~325、404的开口部而一直开口,从而在气体扩散通路309~311、402中连续地供给有各种气体。
被供给到气体扩散通路309~311、402的各种气体经由与各气体扩散通路309~311、402连接的气体供给管305~308、401而被从反应气体供给喷嘴31、32、34、分离气体供给喷嘴41、42向各处理区域P1、P2、活化气体喷射器220、分离区域D供给。上述气体供给管305~308、401被固定在旋转筒303上,而且,反应气体供给喷嘴31、32、34、分离气体供给喷嘴41、42借助芯部301被固定在旋转筒303上,所以随着旋转筒303的旋转,上述气体供给管305~308、401、各气体供给喷嘴31、32、41、42和活化气体喷射器220(气体导入喷嘴34)也一边旋转一边向真空容器1内供给各种气体。此外,套管35a、35b也同样旋转,在该套管35a、35b之间,与上述的例子相同地对下方侧的晶圆W的氧化硅膜供给被等离子化了的等离子体产生用的处理气体。
此时,也从与旋转筒303成为一体而旋转的吹扫气体供给管330供给作为分离气体的N2气体,由此,从中心部区域C即芯部301的侧壁部和基座300的中心部之间沿着基座300表面喷出N2气体。而且,在该例子中,排气口61、62位于沿着配置有反应供给气体喷嘴31、32的第2顶面45的下方侧的空间的芯部301的侧壁部,所以第2顶面45的下方侧的空间的压力比第1顶面44的下方的狭窄空间和中心部区域C的各压力低。因此,与上述的成膜装置相同,BTBAS气体和O3气体不会互相混合地被独立地排出。
因此,各处理区域P1、P2和活化气体喷射器220依次通过在基座300上停止着的各晶圆W的上方,像上述那样依次进行BTBAS气体的吸附、O3气体的氧化处理、改性处理。
在该实施方式中,也同样地为了使膜厚和膜质在晶圆W的面内和晶圆之间均匀而进行改性处理,获得同样的效果。
图18表示具有如上所述的成膜装置的基板处理装置。在图18中,附图标记101是例如收纳25张晶圆W的被称为前开式晶圆传送盒的封闭型的输送容器,附图标记102是配置有输送臂103的大气输送室,附图标记104、105是能够在大气气氛和真空气氛之间切换气氛的加载互锁真空室(预备真空室),附图标记106是配置有2个输送臂107的真空输送室,附图标记108、109是本发明的成膜装置。输送容器101从外部被输送到具有未图示的载置台的搬入搬出部,与大气输送室102连接后,由未图示的开闭机构打开盖,晶圆W由输送臂103从输送容器101内取出。接着,晶圆W被搬入加载互锁真空室104(105)内之后,将该室内从大气气氛切换为真空气氛,之后晶圆W由输送臂107取出,搬入到成膜装置108、109中的一方,进行上述的成膜处理。这样通过具有多个例如2个的例如5张晶圆处理用的本发明的成膜装置,能以高生产率实施所谓ALD(MLD)。
在上述的例子中,混合Ar气体和O2气体并由气体导入喷嘴34供给,但是也可以在覆盖体221内独立地设置2个喷嘴,分别从这些喷嘴单独地供给Ar气体和O2气体。
此外,在上述的例子中,对采用BTBAS气体等和O3气体来形成氧化硅膜的例子进行了说明,然而也可以在例如分别采用TiCl2(氯化钛)气体等和NH3(氨)气体作为第1反应气体和第2反应气体来形成氮化硅膜的情况下进行改性处理。在该情况下,作为用于产生等离子体的等离子体生成气体,使用氢气、氩气、氦气、氮气等,作为用于抑制等离子体的产生的等离子体抑制气体,使用NH3气体、N2H4(联氨)气体和胺系气体等。即使在该情况下,也能够与上述的例子相同地通过改性处理获得在整个面内膜厚和膜质均匀的薄膜。
而且,在上述的例子中,作为活化气体喷射器220,配置了下方朝向套管35a、35b、气体导入喷嘴34较宽地开口的覆盖体221,但是也可以将这些套管35a、35b和气体导入喷嘴34收纳在箱状的等离子体盒内,划分与真空容器1内的各处理区域P1、P2连通的气氛和设有这些套管35a、35b和气体导入喷嘴34的气氛。在该情况下,例如在等离子体盒的下方形成有上述的气孔341。
(实验1:湿蚀刻速率)
在每个成膜循环(旋转工作台2旋转1周)进行氧化硅膜的改性处理时,作为等离子体产生用的处理气体,使用Ar气体的同时使用O2气体,进行了确认相对于湿蚀刻的耐蚀刻性在晶圆W的面内的均匀程度的实验。在该实验中,利用改性处理,杂质被从氧化硅膜中排出,氧化硅膜的纯度得到提高,相对于湿蚀刻的耐蚀刻性提高,通过测量湿蚀刻速率,确认了改性处理进行到怎样的程度。
在以下的成膜条件下形成氧化硅膜之后,将晶圆W浸渍在氢氟酸(HF)水溶液中,之后测量氧化硅膜的膜厚,计算湿蚀刻速率。此时,在测量氧化硅膜的膜厚时,在旋转工作台2上载置晶圆W时,与从旋转工作台2中心侧朝向外周侧的方向相对应地在沿着从晶圆W一端侧朝向另一端侧的直线的多个部位进行了测量。此外,在与活化气体喷射器220的长度方向正交的方向(旋转工作台2的周缘的切线方向)上,也同样地计算了该湿蚀刻速率。
(成膜条件)
图19表示从旋转工作台2中心侧朝向外周侧测量湿蚀刻速率的实验结果。从该图19可知,在未进行改性处理的情况下,湿蚀刻速率大,但是通过进行改性处理,提高了相对于湿蚀刻的耐蚀刻性。此外,在作为等离子体产生用的处理气体而只用Ar气体的情况下,湿蚀刻速率在整个晶圆W面内呈波状不均,但是通过使用该Ar气体的同时使用O2气体,使湿蚀刻速率均匀化。从其结果可知,由于添加O2气体,抑制了局部的等离子体的产生。还可知,越增加O2气体的添加量,湿蚀刻速率越均匀。越朝向旋转工作台2的中心部侧去,湿蚀刻速率不均的倾向越大。另外,在该图19中,表示了将在950℃时得到的热氧化膜的湿蚀刻速率作为1而进行标准化的值。
此外,图20表示在与活化气体喷射器220的长度方向正交的方向上测量湿蚀刻速率的结果。从该图可知,得到了与上述结果同样的结果。从该图还可知,与晶圆W上的、相对于旋转工作台2的旋转方向的上游侧的部分相比,在下游侧的部分有湿蚀刻速率不均的倾向。
(实验2:成膜速度)
接着,与上述的实验1相同,作为等离子体产生用的处理气体使用Ar气体的同时使用O2气体,从而进行了确认在晶圆W的面内成膜速度的均匀程度的实验。也就是说,通过改性处理排出氧化硅膜中的杂质等,氧化硅膜收缩,因此通过测量该成膜速度,与上述的湿蚀刻速率相同地确认了改性处理的均匀性。在实验中,对于在以下的条件下形成的氧化硅膜,从旋转工作台2的中心部侧朝向外侧地测量膜厚,计算了成膜速度。
(实验条件)
另外,在该实验中,作为第1反应气体,使用了蒸气压高于上述的BTBAS气体、且分子小、分子中的有机物容易从硅原子中脱离的二异丙氨基硅烷(DIPAS)气体。此外,关于作为第2反应气体的O3气体,浓度和流量分别为300g/Nm3和10slm(作为O2气体的流量)。
该实验结果如图21所示,可知作为等离子体产生用的处理气体,在使用Ar气体的同时使用O2气体,从而既提高了成膜速度,在晶圆W面内的均匀性也提高,而且越增加O2气体的添加量,均匀性越好。另外,在晶圆W的径向(图21中的左右方向)上成膜速度存在差距,然而,一般认为,通过利用上述的倾斜调整机构240调整活化气体喷射器220的长度方向的倾斜程度,能够在整个面内使成膜速度一致。
(实验3:成膜速度的偏差)
接着,进行与上述的实验2相同的实验,计算成膜速度与面内得到的成膜速度平均值的偏差。此时,第1反应气体的流量、成膜温度、处理压力和旋转工作台2的转速分别为275sccm、350℃、1.07kPa(8Torr)和240rpm。该实验的其他的处理条件、成膜速度的测量位置和上述的实验2相同。
其结果,如图22所示,和实验2相同,作为等离子体产生用的处理气体,在使用Ar气体的同时使用O2气体,从而成膜速度的偏差小。
(实验4:收缩量)
在该实验4中,在形成氧化硅膜之后,在氮气气氛中进行850℃的退火处理时,通过在改性处理时向Ar气体中添加O2气体,进行了确认在晶圆W整体上氧化硅膜的收缩量如何变化的实验。以下所示以外的成膜条件和实验2相同。
(成膜条件)
此外,作为第1反应气体,在比较例4中使用了BTBAS气体,在其他的实验中用了上述的二异丙氨基硅烷气体。
其结果,通过进行改性处理,之后的退火处理时的氧化硅膜的收缩量减少。因此可知,通过改性处理使氧化硅膜致密化。此时,由于是否向Ar气体中添加O2气体收缩量几乎不改变,所以可知,O2气体不会产生妨碍改性处理等这样的不良影响。此外,在每个成膜循环进行改性处理的氧化硅膜的整个面上的49个点测量膜厚,算出成膜速度的平均值,同样可知,由于添加O2气体成膜速度不会产生大的差值。另外,在该图23中,以退火处理前的膜厚为1,计算氧化硅膜的收缩量。
此外,省略图示,但如上所述,在真空容器1的侧壁设置由石英构成的透过窗,隔着由石英构成的透明的覆盖体221通过目视观察等离子体的发光状态,可知,通过使用Ar气体的同时使用O2气体作为等离子体产生用的处理气体,从而与只用Ar气体的情况相比,等离子体的发光状态稳定。
以上,记述了本发明优选的实施方式,但是本发明不限定于该特定的实施方式,在权利要求书内记载的本发明的发明要点的范围内,能够进行各种的变形、变更。

Claims (2)

1.一种成膜装置,该成膜装置通过将基板载置在真空容器内的工作台上的基板载置区域,依次向基板供给至少两种反应气体,并且多次执行该供给循环,从而层叠反应生成物的层而形成薄膜,其特征在于,
该成膜装置包括:
第1反应气体供给部件,其用于向上述基板供给第1反应气体;
第2反应气体供给部件,其用于向上述基板供给第2反应气体;
活化气体喷射器,其用于使含有放电气体和电子亲和力比该放电气体大的添加气体的处理气体活化而在整个上述基板载置区域中的上述工作台中心侧的内缘和上述工作台外周侧的外缘之间生成等离子体,利用生成的等离子体对上述基板上的反应生成物进行改性处理;
以及旋转机构,其用于使上述第1反应气体供给部件、上述第2反应气体供给部件以及上述活化气体喷射器与上述工作台相对旋转,
上述第1反应气体供给部件、上述第2反应气体供给部件和上述活化气体喷射器被配置成在上述相对旋转时按照上述第1反应气体供给部件、上述第2反应气体供给部件和上述活化气体喷射器这样的顺序位于基板所处的位置,
上述活化气体喷射器包括:一对平行电极,其从上述基板载置区域的内缘延伸到外缘;气体供给部,其向该平行电极之间供给上述处理气体;
其中,上述活化气体喷射器还包括:覆盖体,其覆盖上述平行电极和上述气体供给部,并且下部开口;气流限制部,其是使该覆盖体的沿长度方向延伸的侧面的下缘部向外侧弯曲成凸缘状而形成的;
上述覆盖体的上方侧的区域比上述覆盖体的下方侧的区域大,并且,从上述基板载置区域的内缘越向外缘去,上述气流限制部的宽度越宽。
2.根据权利要求1所述的成膜装置,其特征在于,
上述放电气体是从氩气、氦气、氨气、氢气、氖气、氪气、氙气和氮气中选择的气体,
上述添加气体是从氧气、臭氧气体、氢气和H2O气体中选择的气体。
CN201010250341.6A 2009-08-11 2010-08-04 成膜装置 Active CN101994101B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009-186709 2009-08-11
JP2009186709A JP5287592B2 (ja) 2009-08-11 2009-08-11 成膜装置

Publications (2)

Publication Number Publication Date
CN101994101A CN101994101A (zh) 2011-03-30
CN101994101B true CN101994101B (zh) 2014-10-15

Family

ID=43588750

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010250341.6A Active CN101994101B (zh) 2009-08-11 2010-08-04 成膜装置

Country Status (5)

Country Link
US (1) US20110039026A1 (zh)
JP (1) JP5287592B2 (zh)
KR (1) KR101324367B1 (zh)
CN (1) CN101994101B (zh)
TW (1) TWI488996B (zh)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5423529B2 (ja) 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2012054508A (ja) * 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
JP5870568B2 (ja) * 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
KR101512880B1 (ko) * 2011-05-18 2015-04-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP5602711B2 (ja) * 2011-05-18 2014-10-08 東京エレクトロン株式会社 成膜方法及び成膜装置
US8840726B2 (en) * 2011-06-08 2014-09-23 Asm Technology Singapore Pte Ltd Apparatus for thin-film deposition
JP5696619B2 (ja) * 2011-08-17 2015-04-08 東京エレクトロン株式会社 成膜装置
JP5644719B2 (ja) * 2011-08-24 2014-12-24 東京エレクトロン株式会社 成膜装置、基板処理装置及びプラズマ発生装置
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5765154B2 (ja) * 2011-09-12 2015-08-19 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5712889B2 (ja) * 2011-10-07 2015-05-07 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP2013133521A (ja) * 2011-12-27 2013-07-08 Tokyo Electron Ltd 成膜方法
JP5803706B2 (ja) * 2012-02-02 2015-11-04 東京エレクトロン株式会社 成膜装置
JP6011417B2 (ja) 2012-06-15 2016-10-19 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
JP5953994B2 (ja) * 2012-07-06 2016-07-20 東京エレクトロン株式会社 成膜装置及び成膜方法
JP6040609B2 (ja) * 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
JP6051788B2 (ja) * 2012-11-05 2016-12-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ発生装置
JP5939147B2 (ja) 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP5954202B2 (ja) * 2013-01-29 2016-07-20 東京エレクトロン株式会社 成膜装置
JP5971144B2 (ja) * 2013-02-06 2016-08-17 東京エレクトロン株式会社 基板処理装置及び成膜方法
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
JP6196106B2 (ja) * 2013-09-13 2017-09-13 東京エレクトロン株式会社 シリコン酸化膜の製造方法
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
JP6294194B2 (ja) * 2014-09-02 2018-03-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6258184B2 (ja) * 2014-11-13 2018-01-10 東京エレクトロン株式会社 基板処理装置
JP6559430B2 (ja) * 2015-01-30 2019-08-14 東京エレクトロン株式会社 被処理体を処理する方法
JP6398761B2 (ja) * 2015-02-04 2018-10-03 東京エレクトロン株式会社 基板処理装置
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
JP6447393B2 (ja) * 2015-07-06 2019-01-09 東京エレクトロン株式会社 成膜処理装置、成膜処理方法及び記憶媒体
JP6587514B2 (ja) * 2015-11-11 2019-10-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6602261B2 (ja) * 2016-05-23 2019-11-06 東京エレクトロン株式会社 成膜方法
SG11201810824UA (en) * 2016-06-03 2019-01-30 Applied Materials Inc Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
TWI633585B (zh) * 2017-03-31 2018-08-21 漢民科技股份有限公司 用於半導體製程之氣體噴射器與頂板之組合及成膜裝置
US11018048B2 (en) * 2017-11-21 2021-05-25 Watlow Electric Manufacturing Company Ceramic pedestal having atomic protective layer
KR101946312B1 (ko) 2018-10-29 2019-02-11 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
KR20220020820A (ko) 2019-05-15 2022-02-21 어플라이드 머티어리얼스, 인코포레이티드 챔버 잔류물들을 감소시키는 방법들
JP7278146B2 (ja) * 2019-05-20 2023-05-19 東京エレクトロン株式会社 成膜方法
JP7158337B2 (ja) * 2019-05-20 2022-10-21 東京エレクトロン株式会社 成膜方法
CN113496891B (zh) * 2020-04-03 2023-03-14 重庆超硅半导体有限公司 一种集成电路硅片表面氧化膜自适应均匀腐蚀方法
CN112708867A (zh) * 2020-12-31 2021-04-27 广东谛思纳为新材料科技有限公司 一种往复镀膜设备及镀膜方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6796517B1 (en) * 2000-03-09 2004-09-28 Advanced Micro Devices, Inc. Apparatus for the application of developing solution to a semiconductor wafer

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3650042A (en) * 1969-05-19 1972-03-21 Ibm Gas barrier for interconnecting and isolating two atmospheres
DE19622732C2 (de) * 1996-06-07 2000-04-13 Ibm Oberflächenmodifikation von Magnetköpfen
DE69929271T2 (de) * 1998-10-26 2006-09-21 Matsushita Electric Works, Ltd., Kadoma Apparat und Verfahren zur Plasmabehandlung
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6806211B2 (en) * 2000-08-11 2004-10-19 Tokyo Electron Limited Device and method for processing substrate
US6610350B2 (en) * 2000-10-05 2003-08-26 Menicon Co., Ltd. Method of modifying ophthalmic lens surface by plasma generated at atmospheric pressure
WO2003041112A2 (en) * 2001-11-02 2003-05-15 Plasmasol Corporation Non-thermal plasma slit discharge apparatus
KR100574150B1 (ko) * 2002-02-28 2006-04-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US7824520B2 (en) * 2003-03-26 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus
JP4651955B2 (ja) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
JP4718141B2 (ja) * 2004-08-06 2011-07-06 東京エレクトロン株式会社 薄膜形成方法及び薄膜形成装置
US20060040067A1 (en) * 2004-08-23 2006-02-23 Thomas Culp Discharge-enhanced atmospheric pressure chemical vapor deposition
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US20070116891A1 (en) * 2005-11-22 2007-05-24 The Regents Of The University Of California Plasma brush apparatus and method
KR100724571B1 (ko) * 2006-02-13 2007-06-04 삼성전자주식회사 인시투 클리닝 기능을 갖는 플라즈마 처리장치 및 그사용방법
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218701A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090324826A1 (en) * 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6796517B1 (en) * 2000-03-09 2004-09-28 Advanced Micro Devices, Inc. Apparatus for the application of developing solution to a semiconductor wafer

Also Published As

Publication number Publication date
US20110039026A1 (en) 2011-02-17
CN101994101A (zh) 2011-03-30
KR101324367B1 (ko) 2013-11-01
TW201120241A (en) 2011-06-16
JP2011040574A (ja) 2011-02-24
JP5287592B2 (ja) 2013-09-11
KR20110016415A (ko) 2011-02-17
TWI488996B (zh) 2015-06-21

Similar Documents

Publication Publication Date Title
CN101994101B (zh) 成膜装置
US9677174B2 (en) Film deposition method for producing a reaction product on a substrate
CN101748387B (zh) 成膜装置
TWI438300B (zh) 原子層沈積系統及方法
KR101562396B1 (ko) 성막 장치 및 기판 처리 장치
KR101522739B1 (ko) 성막 장치, 성막 방법 및 기억 매체
CN101665922B (zh) 成膜装置、基板处理装置、成膜方法
CN102804346B (zh) 成膜装置、成膜方法、转速的优化方法及气体供给期间的优化方法
CN101665927B (zh) 成膜装置、基板处理装置及成膜方法
CN101736320B (zh) 成膜装置和成膜装置的清洁方法
CN101736318A (zh) 成膜装置
KR101624352B1 (ko) 가스 인젝터 및 성막 장치
US8034723B2 (en) Film deposition apparatus and film deposition method
CN101859694B (zh) 成膜装置和成膜方法
JP5310283B2 (ja) 成膜方法、成膜装置、基板処理装置及び記憶媒体
CN101748389A (zh) 成膜装置、成膜方法、半导体制造装置及其所用的基座
US20110155056A1 (en) Film deposition apparatus
US20100055297A1 (en) Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
CN102732854A (zh) 成膜装置和成膜方法
CN103088319A (zh) 成膜装置和成膜方法
CN101831632A (zh) 成膜装置
CN101859693A (zh) 基板处理装置和基板处理方法
CN102110572A (zh) 等离子处理装置
TWI628307B (zh) 噴嘴及使用其之基板處理裝置
TWI721227B (zh) 成膜裝置及成膜方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant