TWI438300B - 原子層沈積系統及方法 - Google Patents

原子層沈積系統及方法 Download PDF

Info

Publication number
TWI438300B
TWI438300B TW097103865A TW97103865A TWI438300B TW I438300 B TWI438300 B TW I438300B TW 097103865 A TW097103865 A TW 097103865A TW 97103865 A TW97103865 A TW 97103865A TW I438300 B TWI438300 B TW I438300B
Authority
TW
Taiwan
Prior art keywords
compartment
rotation
deposition system
partition
axis
Prior art date
Application number
TW097103865A
Other languages
English (en)
Other versions
TW200900527A (en
Inventor
Anthony Dip
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200900527A publication Critical patent/TW200900527A/zh
Application granted granted Critical
Publication of TWI438300B publication Critical patent/TWI438300B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

原子層沈積系統及方法
本發明係關於利用原子層沉積(ALD)來沉積薄膜的系統及方法。
隨著微電子裝置的尺寸縮小及此種材料的需求日益增加,具有均勻組成及在較大基板區域上的均勻厚度的半導體薄膜亦愈發為人所欲。用於在基板上沉積薄膜之一般處理為化學氣相沉積(CVD),其可在複雜的裝置拓樸學中提供相對均勻的薄膜沉積。在典型的CVD處理中,基板暴露於二或更多個揮發性前驅物中,前驅物在基板表面上反應及/或是分解,以產生所欲之薄膜。
儘管CVD已具有超越先前沉積技術之改善,但CVD仍舊有一些缺點。舉例而言,因為CVD從屬於通量,所以一定要精確且一致的維持沉積條件,例如基板溫度、壓力、及氣體流動速度,以產生具有均勻厚度之所欲薄膜。此外,CVD易於包含不欲之反應產物於所沉積的薄膜中,因此減少薄膜之純度。
原子層沉積(ALD)是CVD的一種變形,其係為用以整合有可能的達成高度均勻、保角薄膜沉積之較優良方法的當代技術。ALD把習知的CVD處理分成分離的沉積步驟,以藉由在各個沉積步驟中循序地沉積單一原子單層來建構薄膜。ALD的技術係基於藉由化學吸收作用使反應前驅物分子的飽和單層的形成的原則。典型的ALD處理由以下步驟組成:注入第一前驅物一段時間直到形成飽和單層於基板上;接著,利用惰性氣體清洗腔室中的第一前'驅物;接著,亦把第二前驅物注入腔室中一段時間;然後清洗腔室中的第二前'驅物。重複引入第一前驅物、清洗處理腔室、引入第二前驅物、及清洗處理腔室的步驟數次,以達成具有所欲厚度之層。
可利用具有反應氣體前驅物水平注入處理腔室的單一晶圓反 應器來沉積ALD薄膜。水平的氣體前驅物注入會把氣體前驅物導向平行於靜止基板的表面的方向。因為反應氣體前驅物以平行基板表面的方向流動,所以會造成比以垂直於基板表面的氣體前驅物注入方向更均勻的薄膜,如此配置係為所欲。然而,單一晶圓反應器的主要缺點是:因為相對低的產率,所以商業價值顯著減少。另一缺點是一定要在引入各個個別的氣體前驅物之前清洗處理腔室。
為了要解決有關單一晶圓反應器的至少一部份商業問題,ALD處理可使用處理腔室被分隔成複數之處理隔間的多重晶圓反應器。相對於處理隔間旋轉基板,以使各個基板循序地從一隔間傳送至另一隔間。在各個個別的處理隔間中,各個基板暴露於前驅物氣體或是惰性氣體中。旋轉的基板循序地暴露於不同的前驅物氣體及惰性氣體中。此等多重晶圓反應器的特徵缺點之一為:使用噴淋頭注射器的多重晶圓反應器以大致上垂直於基板表面的軸向對稱方向注入前驅物氣體。因此,儘管可達成較快的處理時間,多重晶圓ALD處理腔室會產生具有降低的厚度均勻度的薄膜。
因此,多重晶圓處理腔室有以下需求:其中係以平行於基板之上表面的方向注入反應氣體前驅物於各個處理隔間中。
本發明之一實施例提供用以處理複數之基板的沉積系統。沉積系統包含處理腔室,處理腔室包括第一壁、與第一壁分開的第二壁、及連接於第一及第二壁的,用以定義出處理空間之周圍側壁。基板支座係用於支撐基板於第一及第二壁之間,且徑向位於周圍側壁之內。基板支座係用於相對於周圍側壁以環繞旋轉軸線的封閉路徑來旋轉基板。複數之分隔部相對於旋轉軸線朝向周圍側壁延伸。分隔部把處理空間分成複數之隔間,複數之隔間包含第一隔間、及包含惰性氣體的第二隔間。第一材料注入器穿過周圍側壁而與第一隔間連通,且係用以注入第一處理材料至第一隔 間之內。在環繞旋轉軸線的各個全周旋轉時,各個基板的上表面循序地暴露於第一隔間中的第一處理材料中、及第二隔間中的惰性氣體中。
本發明之另一實施例提供用以在複數之各基板之上沉積一層的方法。此方法包含以環繞旋轉軸線之分開的周圍關係排列基板、及以環繞旋轉軸線的封閉路徑旋轉基板。此方法更包含以相對於旋轉軸線的徑向方向注入第一處理材料,且注入由界定環繞旋轉軸線的第一夾角的分隔部所定義的第一隔間。在各個完整的旋轉中,各個基板的上表面暴露於第一隔間中的第一處理材料中,以鍵結第一處理材料之分子、及在第二隔間中鍵結惰性氣體,第二隔間係由界定環繞旋轉軸線的第二夾角的分隔部所定義。
參照圖1,用以處理複數之基板15的沉積系統10包含輸入/輸出站12、真空預備站14、處理腔室16、及傳送腔室18,介設於真空預備站14及處理腔室16之間。以簡化方式顯示的沉積系統10可包含額外的構造,例如額外的真空隔離壁,該真空隔離壁連接真空預備站14與送腔室18、及連接處理腔室16與傳送腔室18,此為熟知本技藝者可瞭解者。在大氣壓力或是接近大氣壓力(例如,760 Torr)的輸入/輸出站12適於容納晶圓匣20,例如前開式集中盒(FOUP)。晶圓匣被切割及塑形以容納複數之基板15,例如具有例如200或是300毫米直徑的半導體晶圓。
當處理腔室16及傳送腔室18係為隔絕且一直保持在真空壓力下,真空預備站14將大氣壓力排空至真空壓力、及減少真空壓力到大氣壓力。真空預備站14容納從輸入/輸出站12的大氣壓力環境引入的複數之晶圓匣20。真空預備站14包含平台21、23,平台21、23各支撐一晶圓匣20、且可垂直索引編排以促使晶圓從處理腔室16移進移出。
晶圓傳送機構22在真空之下從真空預備站14中的晶圓匣20 之一傳送基板15通過傳送腔室18進入處理腔室16。另一晶圓傳送機構24在真空下從處理腔室16傳送在處理腔室16中處理過的基板15通過傳送腔室18進入晶圓匣20。用以提昇沉積系統10的產率的互相獨立操作的晶圓傳送機構22、24可以是一般用於選取及放置操作的選擇性的依照連接的/組件自動裝置手臂(SCARA)自動裝置。晶圓傳送機構22、24包含用以在傳送時鎖固基板15的終端受動器。處理腔室16可包含分別由晶圓傳送機構22、24使用的不同的第一及第二可密封的孔口(未顯示),以存取處理腔室16中之處理空間38(圖3A、3B)。當沉積處理發生在處理腔室16中時,密封存取孔口。顯示於圖1中之晶圓傳送機構22係為從真空預備站14之平台21上的晶圓匣20傳送未處理的基板15到處理腔室16的時候。顯示於圖1之晶圓傳送機構24係為從處理腔室16傳送已處理的基板15至真空預備站14的平台23上的晶圓匣20的時候。
晶圓傳送機構24亦可傳送從處理腔室16擷取的已處理基板15至用以檢驗的量測站26、或是用於基板15之後處理低壓冷卻的冷卻站28。在量測站26中施行的處理可包含但不限於:用於量測薄膜厚度及/或是薄膜組成的習知技術,例如橢圓量測,及用於汙物控制的粒子量測技術。
沉積系統10設有程式化的系統控制器30,以控制及協調沉積系統10的操作。系統控制器30一般包含用以控制不同系統功用、腔式處理、及支援硬體(例如偵測器、自動裝置、馬達、氣體源硬體等者)、並監控系統及腔室處理(例如腔室溫度、處理序列產率、腔室處理時間、輸入/輸出信號等者)的中央處理單元(CPU)。可以編碼並儲存軟體指令於記憶體中,以命令CPU。由系統控制器30執行的軟體程式決定在基板15上執行的工作,包含有關監控及執行處理序列的工作、及不同的腔室處理說明步驟。
繼續參照圖2、2A、3A、及3B,處理腔室16包含第一壁32、第二壁34、及周圍側壁36,在第一及第二壁32、34之間延伸且 連接第一及第二壁32、34,以定義出處理空間38之界線。第二壁34及第一壁32藉由周圍側壁36的高度(或是垂直尺寸)d分開,且第二壁34的方向是大致平行於第一壁32。周圍側壁36環繞著對稱的方位軸線40沿著其沿周向延伸。周圍側壁36的直徑顯著大於垂直尺寸d,以使處理腔室16具有平坦的「薄餅」幾何形狀。
具有直角彎曲的管子或是管狀水管形狀的前級管線42連接於延伸穿過處理腔室16之第一壁32的孔口44。前級管線42連接與真空系統46流體連通之處理空間38,真空系統46是由用於排空封閉體積(例如處理空間38)之氣體的習知的真空幫浦種類組成。儘管所示之前級管線42係直角彎曲,但熟知本技藝者當可知,前級管線42可具有任何所欲之彎曲角度,或是呈直線狀而不彎曲。吾人相信後者之配置可以最佳化幫浦傳導。
具有基板支座48形狀的襯托器係配置於處理腔室16之內。基板支座48包含碟狀承盤50、及形成於承盤50之上表面49之中的複數之環形氣穴或是凹部52。承盤50的上表面49面向第一壁32,以使凹部52開口朝向第一壁32。
各個凹部52係用於固定至少一個基板15於位於處理腔室16的周圍側壁36的徑向位置上。個別凹部52的數目範圍在例如2到7個。然而,熟知本技藝者當可知,取決於基板15的尺寸及基板支座48的尺寸,基板支座48可具有任何所欲數目的凹部52。舉例而言,承盤50配置有3到6個之間的被塑形以容納圓形基板15的凹部52,如此可最佳化上表面49的表面區域之可用部分。此外,儘管本發明之實施例係具有環形或是圓形的幾何形狀的凹部52,但熟知本發明者當可知,凹部52可為適合於恰當塑形的基板的任何所欲形狀。
沉積系統10可用於處理200 mm的基板、300 mm的基板、或是更大尺寸的圓形基板,且其尺寸會反映出凹部52的尺寸。事實上,熟知本發明者當可知,沉積系統10會用於處理不拘尺寸之基板、晶圓、或是液晶顯示器。因此,雖然本發明之實施態樣係 以處理半導體基板的基板15來描述,本發明並不限於此。
凹部52係以旋轉軸線54為中心均勻環繞著承盤50的周圍而分佈。凹部52具有環繞旋轉軸線54接近等角的分隔,旋轉軸線54與方位軸線40在同一直線上或為同軸,但本發明並不限於此。如圖2之最佳顯示,相鄰之凹部52可藉由等於s-2wr 的空隙距離分開,其中s是相鄰之凹部52的中心之間的距離,wr 是相鄰之凹部52的半徑。用以排空處理空間38的空氣的前級管線42係沿著旋轉軸線54、並在承盤50之上而配置。
繼續參照圖2、2A、3A、及3B,基板支座48的承盤50藉由驅動軸58耦合於驅動馬達56,該驅動馬達56配置於處理腔室16之外。沿著旋轉軸線54延伸的驅動軸58配置於第二壁34的管狀延伸部35中。旋轉真空饋通部60耦合驅動軸58與驅動馬達60。旋轉真空饋通部60係用以從驅動馬達56經由第二壁34傳送旋轉運動至驅動軸58,以使承盤50環繞旋轉軸線54旋轉。
電性連接於驅動馬達56的控制器62操作驅動馬達56以繞著旋轉軸線54旋轉承盤50及驅動軸58。當基板15在處理腔室16中處理時,可以用恆定的角速度繞著旋轉軸線54繼續旋轉承盤50。或者,可以依據承盤50相對於任意參考點的角方向隨機改變角速度。
承盤50及第二壁34之間的處理空間38的部分包含臺座64及加熱器66。加熱器66耦合於臺座64,以使加熱器66位於承盤50之下表面51及臺座64之上表面65之間的位置。通電時,加熱器66傳送熱量至承盤50以加熱固定於複數之凹部52之內的基板15至所欲處理溫度。
分隔部68、70、72、74分隔處理腔室16的處理空間38成複數之隔間76、78、80、82。分隔部68、70、72、74相對於旋轉軸線54朝向周圍側壁36徑向延伸。分隔部68、70、72、74從承盤50之上表面49朝向第一壁32向上伸入。分隔部68、70、72、74各具有高度(或是垂直尺寸)d1 ,d1 大約等於承盤50之上表面49、 及第一壁32之前表面之間的垂直尺寸d2 。分隔部68從接近周圍側壁36的第一端點68a、及接近旋轉軸線54的第二端點68b之間延伸。分隔部70、72、74分別具有相似的第一及第二端點70a、70b、72a、72b、74a、及74b。
分隔部68、70、72、74的分別端點68b、70b、72b、74b在前級管線42之內的旋轉軸線54交叉,如此則會更減少四個隔間76、78、80、82之間的氣體交換。交叉端點68b、70b、72b、74b向上注入,以使分隔部68、70、72、74的垂直尺寸d3 在前級管線42之內是大於前級管線42之外的垂直尺寸d1 。然而,本發明不限於此,分隔部68、70、72、74的垂直尺寸d1 沿著其整體長度皆很均勻。
隔間76定義為由限制於第一分隔部68、第二分隔部70、第一壁32、第二壁34、及周圍側壁36其中的處理空間38的一部份。隔間78定義為由限制於第二分隔部70、第三分隔部72、第一壁32、第二壁34、及周圍側壁36其中的處理空間38的一部份。隔間80定義為由限制於第三分隔部72、第四分隔部74、第一壁32、第二壁34、及周圍側壁36其中的處理空間38的一部份。隔間82定義為由第一分隔部68、第四分隔部定義為由限制於74、第一壁32、第二壁34、及周圍側壁36其中的處理空間38的一部份。儘管已代表性地顯示出四個分隔部68、70、72、74,對本技藝具有通常知識者當可知,處理腔室16可再細分成任何合適的多數個,以形成多於或少於四個隔間。
繼續參照圖2、2A、3A、及3B,且特別是圖2A,沉積系統10更包含藉由分別的氣體管線85、87連接於穿透周圍側壁36之進入孔口86、88的清洗氣體供應系統84。進入孔口86及氣體管線85連接隔間82及清洗氣體供應系統84。清洗氣體供應系統84係用以引入清洗氣體流至隔間78及82。引入隔間78及82的清洗氣體包含惰性氣體,例如鈍氣(例如氦、氖、氬、氙、氡)、或是氮、或是氫。在基板處理中,清洗氣體連續引入隔間78及82中, 以提供氣態屏幕或是障壁阻礙,或是至少能夠顯著限制隔間76及80之間的第一及第二處理材料的傳送。此清洗氣體亦可在隔間78、82之內提供惰性氣體,以使在承盤50上通過隔間78、82傳送時,由基板15帶來的任何處理材料層實際上不變。隔間76鄰接於隔間78、82之間,且隔間80鄰接於隔間78、82之間,以使隔間78、82分開隔間76及80,以提供第一及第二處理材料的相互隔絕。
沉積系統10更包含用以引入第一處理材料至隔間76的第一處理材料供應系統90、及用以引入第二處理材料至隔間80的第二處理材料供應系統92。第一及第二材料供應系統90、92可各包含可見於習知的此種處理材料供應系統中之一或更多個材料源、一或更多個加熱源、一或更多個壓力控制裝置、一或更多個流動控制裝置、一或更多個過濾器、一過更多個閥、或是一或更多個流動感測器。
第一處理材料可包含例如前驅物,例如具有可在形成於各個基板15之上的薄膜中找到的主要原子或是分子種類的合成物。例如,前驅物可產生為固相、液相、或是氣相,且可以在載子氣體的輔助之下、或是沒有載子氣體的輔助之下一起以氣相或是蒸氣相輸送至隔間76。第二處理材料可包含例如反應物,反應物亦可具有可在形成於各個基板15之上的薄膜中找到的原子或是分子種類的合成物。舉例而言,反應物可產生為固相、液相、或是氣相,且可以在載子氣體的輔助之下、或是沒有載子氣體的輔助之下一起以氣相或是蒸氣相輸送至隔間80。
由第一處理材料供應系統90供應至處理腔室16的第一處理材料、及由第二處理材料供應系統92供應至處理腔室16的第二處理材料係根據將沉積於基板上作為薄膜的材料組成及特性而選擇。舉例而言,第一處理材料可包含金屬有機前驅物,例如三甲基鋁(TMA),第二處理材料可包含氮(N2 )、或是氧(O2 )。在另一範例中,第一處理材料可包含前驅物,例如五氯化鉭(TaCl5 ), 第二處理材料可包含還原劑,例如氫(H2 )氣。亦選擇第一及第二處理材料的溫度及壓力以促進薄膜成長。
第一組注入孔口102及第二組注入孔口104延伸穿過周圍側壁36,以連通隔間76。共同形成材料注入器100的注入孔口102、104藉由第一歧管94連接於第一處理材料供應系統90。注入孔口102、104注入第一處理材料至隔間76。第三組注入孔口108及第四組注入孔口110延伸穿過周圍側壁36,以與隔間80連通。共同形成材料注入器106的注入孔口108、110藉由第二歧管96連接於第二處理材料供應系統92。注入孔口108、110注入第二處理材料至隔間80。
材料注入器100之注入孔口102係接近第一分隔部68。注入孔口102對準延伸到第一平面114中的周圍側壁36附近的第一角弧112(圖3A)。第一平面114係位於第二組注入孔口104之上,且在承盤50之上表面49之上的h1 高度。第一平面114實際上平行於包含上表面49的平面。材料注入器100之注入孔口104係接近第二分隔部70。注入孔口104對準延伸到第二平面118中的周圍側壁36附近的第二角弧116。第二平面係位於承盤50之上表面49之上的高度h2 ,且實際上平行於包含上表面49的平面。
材料注入器106之注入孔口108係空間上接近第三分隔部72。注入孔口108對準延伸到第三平面122中的周圍側壁36附近的第三角弧120。第三平面係位於第二組注入孔口110之上,且位於承盤50之上表面49之上的高度h3 。第三平面122實際上平行於包含上表面49的平面。注入孔口110係空間上接近第四分隔部74。注入孔口110係沿著延伸到第四平面126中的周圍側壁36附近的第四角弧124而配置。第四平面係位於承盤50之上表面49之上的高度h4 ,且係平行於包含上表面49的平面。角弧112、116、120、124係在周圍側壁36附近沿著周圍量測。
在本發明之一實施例中,第一組注入孔口102及第三組注入孔口108實際上是共平面的,所以高度h1 及高度h3 實際上相等, 且第二組注入孔口104及第四組注入孔口110實際上是共平面的,所以高度h2 及高度h4 實際上相等。熟知本發明者當可知,可以調整高度h1 -h4 ,以使平面114、118、122、126具有其他種相對排列。
在本發明之一特定實施例中,第一角弧112及第三角弧120各可延展大約44∘的弧角。第二角弧116及第四角弧124各可延展大約22∘的弧角。熟知本發明者當可知,隔間76、78、80、82可分別獨立設置所欲數目之注入孔口102、104、108、110,且角弧112、116、120、124各可延展所欲弧角。選擇注入孔口102、104、108、110的數目及角弧112、116、120、124的弧角以協同最佳化沉積在各個基板15上的薄膜之至少一特性,及/或是最佳化跨越基板15之上表面的第一及第二處理材料的流動。
材料注入器100、106能沿實質上徑向自周圍側壁36朝向旋轉軸線54方向分別注入第一及第二處理材料。於此配置中,從各個材料注入器100、106的處理材料注入連同通過前級管線42的排空,產生以實際上平行於各基板15之上表面的方向,從周圍側壁36朝向前級管線42的中央位置而跨越基板15的各處理材料之氣流。結果,當補充新鮮處理材料到隔間76、80之內時,可通過前級管線42移除散發至基板15上表面之多餘的處理材料及反應所產生之沉積副產品。
當繞著旋轉軸線54旋轉基板支座48時,承盤50之周圍附近的凹部52的排列容許各個基板15循序地暴露於各個隔間76、78、80、82的不同環境中。舉例而言,在基板支座48旋轉通過2π弳度(360∘)之封閉路徑時,各基板15依序暴露於第一隔間76中之第一處理材料之環境,接著是暴露於包含第二隔間78的清洗氣體之環境中,然後是在第三隔間80的第二處理材料之環境中,最後是第四隔間82之內包含清洗氣體的環境。凹部52在分別的隔間76、78、80、82中各具有由將沉積於各基板15上的薄膜特性所指定的一所欲存在時間,存在時間要足以能形成薄膜。
第二壁34包含下清洗開口130,用以從清洗氣體系統131引入清洗氣體至基板支座48之下或是下方的處理腔室16之中。基板支座48之下的清洗氣體的出現可以減少第一及第二處理材料在各自的隔間76、80之外的傳送。
使用並參照圖1、2、2A、3A、及3B,沉積系統10的處理腔室16係用於在容納於承盤50之上、且以在旋轉軸線54附近之分開的周圍關係排列的凹部52中的各基板15之上沉積一層。基板15以環繞旋轉軸線54的封閉路徑連續地旋轉,如單頭箭頭89所示(圖1、2、2A)。角速度可為例如每分鐘240轉。以相對於旋轉軸線54的徑向方向把第一處理材料注入第一隔間76,第一隔間76限制環繞旋轉軸線之第一角弧112。在承盤50各個完整的旋轉中,各個連續旋轉的基板15暴露於第一隔間76中的第一處理材料中。第一處理材料以在基板15之上方的第一高度h1 之處的第一流動注入第一處理材料遍及於第一隔間76中之第一角弧112、及在基板15之上方的第二高度h2 之處的第二流動注入第一處理材料遍及於第一隔間76中之第二角弧116。
在暴露於第一處理材料之前及之後,各個連續旋轉的基板暴露於分別限制環繞旋轉軸線54之第二及第四角弧116、126第二及第四隔間78、82中之惰性氣體中。以相對於旋轉軸線54的徑向方向注入第二處理材料於藉由第二及第四隔間78、82與第一隔間76分開的第三隔間80中。可藉由第一及第二處理材料的化學反應遞增地在各個連續旋轉的基板15之上形成分開的薄膜疊層。例如但不限於:當暴露於第一及第二處理材料時,第一及第二隔間76、80中的真空壓力可為10 Torr等級,且可加熱基板15至大約400℃的處理溫度。
沉積處理方式是習知的沉積技術,其中,基板15之上的薄膜之各個原子層(或是其一部份)的沉積係藉由交替及循序引入適當的以自身限制方式反應之氣相前驅物,以遞增地形成或是建構薄膜。在第一隔間76中,(藉由化學吸收或是吸附作用等者)鍵 結第一處理材料分子於基板15之上表面,以形成第一處理材料之單層、或是第一處理材料之單層之一部份。在第三隔間80之內,第二處理材料與第一處理材料之分子在相繼的基板15之上反應。當基板15旋轉通過第一及第三隔間76、80時,以循序的接續暴露於第一及第二處理材料反覆此等步驟。分別在第一及第三隔間76、80中之第一及第二處理材料的環境係藉由第二及第四隔間78、82中之無化學反應性的清洗氣體環境互相隔絕。第一及第三隔間76、80中之環境不會改變,以達成相繼暴露於第一及第二材料。
可加熱基板15至處理溫度以促進沉積處理。比起主要是熱驅動CVD處理,ALD主要是化學驅動。因此,可在明顯低於CVD之基板溫度之下施行ALD。
在開始注入第一處理材料、及中斷注入第一處理材料時,可調節基板15之旋轉,以使不同基板15暴露於第一處理材料中。此種調節類型對於控制相對很薄之沉積層是有效的。隔間76、78、80、82可經由與第一隔間連接的前級管線42,自基板上方的一位置被排空。基板15能以恆定角速度圍繞旋轉軸線54旋轉。
本發明考慮調整分隔處理空間38的分隔部68、70、72、74,以使隔間76、78、80、82合併成為用以在處理腔室16中藉由CVD處理沉積層的單一隔間。明確而言,分隔部68、70、72、74可藉由在大致上以垂直方向從旋轉軸線54延伸至實際上水平的方向之徑向軸137附近的定位裝置(未顯示)配置成繞軸旋轉,如參考標號135所示(如圖1、2、2A、3A、3B所示)。通過材料注入器100、106注入的氣體可用於輸送CVD沉積處理之第一及第二處理材料。可利用旋轉的基板支座48或是靜止的基板支座48進行CVD沉積處理。
參照根據本發明之一替換性實施例之圖4,其中,相似的參考標號指的是與圖1、2、2A、3A、及3B中相似的特徵部,處理腔室16a包含取代注入孔口102、104之設有狹縫的注入孔口136、 138的材料注入器100a(圖2、2A、3A、3B)、及取代注入孔口108、110之有狹縫的注入孔口140、142的材料注入器106a(圖2、2A、3A、3B)。類似於注入孔口102,注入狹縫136空間上放置於接近第一分隔部68之處,且係沿著第一角弧112對準。類似於注入孔口104,注入狹縫138空間上放置於接近第二分隔部70,且係沿著第二角弧116對準。類似於注入孔口108,注入狹縫140空間上放置於接近第三分隔部72,且係沿著第三角弧120對準。類似於注入孔口110,注入狹縫142空間上放置於接近第四分隔部74,且係沿著第四角弧124配置。注入狹縫136、138、140、142之特性實際上類似於上述之注入孔口102、104、108、110之特性。
參照根據本發明之另一實施例之圖5,其中相似的參考標號指的是與圖1、2、2A、3A、3B、及4中相似之特徵部,處理腔室16b包含具有直角彎曲的管子或是管狀外形的前級管線42a,此前級管線42a連接於延伸通過處理腔室16b之第二壁34的孔口44a。在此下部抽吸之配置中,可通過孔口44a從處理腔室16a移除多餘的處理材料及沉積副產品。在此實施例中,第一及第二角弧112、116重疊,以使在不同平面114、118上的注入孔口102其中至少之一空間上重疊於注入孔口104其中至少之一。相似地,在此實施例中,第三及第四角弧120、124重疊,以使在不同平面122、126的注入孔口108其中至少之一空間上重疊注入孔口110其中至少之一。
參照根據本發明之一替換性實施例之圖6,其中相似的參考標號指的是圖1、2、2A、3A、3B、4、及5中之相似特徵部,處理腔室16c包含材料注入器100b,材料注入器100b包含以包含離子、自由基、或是其組合之電漿產品形式供給第一處理材料至隔間76的電漿源144。波導146a、146b將電漿源144耦合於處理腔室16c之周圍側壁36中之注入孔口148、150。注入孔口148接近第一分隔部68,第二注入孔口150接近第二分隔部70。處理腔室16c更包含材料注入器106b,材料注入器106b包含以包含離子、 自由基、或是其組合之電漿產品形式供給第二處理材料至隔間80的電漿源152。波導154a、154b將電漿源152耦合於處理腔室16c之周圍側壁36中之注入孔口156、158。注入孔口156接近第三分隔部72,注入孔口158接近第四分隔部74。
處理腔室16c可用於在基板15之上之電漿強化原子層沉積薄膜。儘管處理腔室16c包含多重電漿源144、152,本發明仍預計以注入孔口102、104、108、110(圖1、2、2A、3A、3B)或是有狹縫之注入孔口136、138、140、142(圖4)來輸送第一處理材料或是第二處理材料至隔間76、80。側壁36周圍之注入孔口148、150可用於調節沉積在基板15上的薄膜均勻度。
參照圖7-9,可調整分隔部68、70、72、74之角度方向以改變隔間76、78、80、82所佔有之角度線段之弧長。
特別參照圖7,以一致的直角夾角相對排列分隔部68、70、72、74。當以恆定角速度旋轉基板支座48之承盤50時(圖2),由承盤50承載的基板15循序地暴露於各個隔間76、80大約相等的持續時間,以在各基板15之上形成第一及第二處理材料的反覆層。分隔部68、70、72、74的排列可代表圖1-6所繪之處理腔室16、16a、16b、16c。
特別參照圖8,處理空間38可藉由類似於分隔部68、70、72、74的分隔部68a、70a、72a、74a分割成類似於隔間76、78、80、82的隔間76a、78a、80a、82a。第一及第二分隔部68a、70a係由一鈍角夾角分開,且限制隔間76a。第三及第四分隔部72a、74a係由一鈍角夾角分開,且限制隔間80a。因為該等鈍角夾角表示縱向的夾角,因此第三及第四分隔部72a、74a之間的鈍角夾角與第一及第二分隔部68a、70a之間的鈍角夾角一致。第一及第二分隔部68a、70a之間的鈍角夾角、及第三及第四分隔部72a、74a之間的鈍角夾角必須在限制隔間78a的第二及第三分隔部70a、72a之間、及在第一及第四分隔部68a、74a之間創造出相等的銳角。限制隔間78a的第二及第三分隔部70a、72a之間與第一及第四分隔 部68a、74a之間的銳角一致。該等一致的銳角夾角表示縱向的夾角。第二及第三分隔部70a、72a之間的銳角夾角、及第三及第四分隔部72a、74a之間的鈍角夾角、或是第一及第二分隔部68a、70a之間的鈍角夾角是補角。第一及第四分隔部68a、74a之間的銳角夾角、及第三及第四分隔部72a、74a之間的鈍角夾角、或是第一及第二分隔部68a、70a之間的鈍角夾角也是補角。
當以恆定角速度旋轉基板支座48之承盤50時(圖2),假設是相等的角速度,由承盤50承載的基板15循序地暴露於第一及第二處理材料長於圖7所示之排列的持續時間。在第一及第三隔間76a、80a中的持續時間大約相等。熟知本發明者當可知,可調整分隔部68a、70a、72a、74a之間的角度及角速度,以調整基板15在各個隔間76a、80a中之持續時間。藉由延長持續時間,增加暴露於第一及第二處理材料可增加薄膜之成長速度。
參照圖9,處理空間38可藉由類似於分隔部68、70、72、74的分隔部68b、70b、72b、74b分割成類似於隔間76、78、80、82的隔間76b、78b、80b、82b。第一及第二分隔部68b、70b之間的夾角α1 大於第三及第四分隔部72b、74b之間的夾角α2 。此外,第二及第三分隔部70b、72b之間的夾角α3 與第一及第四分隔部68b、74b之間的夾角α4 大約相等。當旋轉基板支座48之承盤50時(圖2),由承盤50承載的基板15循序地在第一隔間76b中暴露於第一處理材料中第一持續時間;在第二隔間78b中暴露於第二持續時間,其中第二持續時間短於第一持續時間;在第三隔間80b中暴露於第二處理材料第三持續時間,其中第三持續時間短於第一持續時間;及再次於第四隔間82b中暴露於清洗氣體等於第二持續時間之持續時間,藉此在基板15之上形成第一及第二處理材料之反覆層。若以恆定的角速度旋轉基板支座48之承盤50(圖2),個別的持續時間可獨立於角速度。
儘管排列分隔部68b、70b、72b、74b使α1 大約為270∘而α2 大約為30∘,熟知本發明者當可知,分隔部68b、70b、72b、74b 可用以提供在四個隔間76b、78b、80b、82b之內的任何所欲持續時間。可調整持續時間,以例如補償具有不同反應速度之第一及第二處理材料。舉例而言,在第一隔間76b中暴露於第一處理材料的持續時間明顯大於在第三隔間80b中暴露於第二處理材料之持續時間,如此則能最大化第一處理材料之暴露,以補償快速反應之第二處理材料。
儘管已藉由一或更多個實施例而描述本發明、且亦考慮到實施例之細部,但本發明並不限於此,且亦不限制本發明之申請專利範圍於此等細節。熟知本技藝者當可輕易而知額外的優點及改型。因此,較寬範圍之本發明之實施態樣不限於所示及描述之範例特定細部、代表性的設備、及方法。因此,可在不脫離一般的發明概念的範圍之內,脫離此等細部。
10‧‧‧沉積系統
12‧‧‧輸入/輸出站
14‧‧‧真空預備站
15‧‧‧基板
16‧‧‧處理腔室
16a‧‧‧處理腔室
16b‧‧‧處理腔室
16c‧‧‧處理腔室
18‧‧‧傳送腔室
20‧‧‧晶圓匣
21‧‧‧平台
22‧‧‧晶圓傳送機構
23‧‧‧平台
24‧‧‧晶圓傳送機構
26‧‧‧量測站
28‧‧‧冷卻站
30‧‧‧系統控制器
32‧‧‧第一壁
34‧‧‧第二壁
35‧‧‧管狀延伸部
36‧‧‧周圍側壁
38‧‧‧處理空間
40‧‧‧方位軸線
42‧‧‧前級管線
42a‧‧‧前級管線
44‧‧‧孔口
44a‧‧‧孔口
46‧‧‧真空系統
48‧‧‧基板支座
49‧‧‧承盤之上表面
50‧‧‧承盤
51‧‧‧承盤之下表面
52‧‧‧凹部
54‧‧‧旋轉軸線
56‧‧‧驅動馬達
58‧‧‧驅動軸
60‧‧‧旋轉真空饋通部
62‧‧‧控制器
64‧‧‧臺座
65‧‧‧臺座之上表面
66‧‧‧加熱器
68‧‧‧第一分隔部
68a‧‧‧第一分隔部
68b‧‧‧第一分隔部
70‧‧‧第二分隔部
70a‧‧‧第二分隔部
70b‧‧‧第二分隔部
72‧‧‧第三分隔部
72a‧‧‧第三分隔部
72b‧‧‧第三分隔部
74‧‧‧第四分隔部
74a‧‧‧第四分隔部
74b‧‧‧第四分隔部
76‧‧‧隔間
76a‧‧‧隔間
76b‧‧‧隔間
78‧‧‧隔間
80‧‧‧隔間
80a‧‧‧隔間
80b‧‧‧隔間
82‧‧‧隔間
82a‧‧‧隔間
82b‧‧‧隔間
84‧‧‧清洗氣體供應系統
85‧‧‧氣體管線
86‧‧‧進入孔口
87‧‧‧氣體管線
88‧‧‧進入孔口
89‧‧‧顯示基板15旋轉方向的箭頭
90‧‧‧第一材料供應系統
92‧‧‧第二材料供應系統
94‧‧‧第一歧管
96‧‧‧第二歧管
100‧‧‧材料注入器
100a‧‧‧材料注入器
100b‧‧‧材料注入器
102‧‧‧注入孔口
104‧‧‧注入孔口
106‧‧‧材料注入器
106b‧‧‧材料注入器
108‧‧‧注入孔口
110‧‧‧注入孔口
112‧‧‧第一角弧
114‧‧‧第一平面
116‧‧‧第二角弧
118‧‧‧第二平面
120‧‧‧第三角弧
122‧‧‧第三平面
124‧‧‧第四角弧
126‧‧‧第四平面
130‧‧‧下清洗開口
131‧‧‧清洗氣體系統
136‧‧‧有狹縫的注入孔口
138‧‧‧有狹縫的注入孔口
140‧‧‧有狹縫的注入孔口
142‧‧‧有狹縫的注入孔口
144‧‧‧電漿源
146a‧‧‧波導
146b‧‧‧波導
148‧‧‧注入孔口
150‧‧‧注入孔口
132‧‧‧電漿源
154a‧‧‧波導
154b‧‧‧波導
156‧‧‧注入孔口
158‧‧‧注入孔口
結合於說明書並構成說明書之一部份的附圖顯示出本發明之實施例及上述之本發明之一般描述,以下將給予詳細的描述以解釋本發明。
圖1是根據本發明之一實施例,包含處理腔室之沉積系統的概略上平面圖。
圖2是為了清晰而忽略圖1中之處理腔室之上壁之立體圖。
圖2A是圖2之處理腔室的頂視圖。
圖3A是大致上沿著圖2之線3A-3A之概略橫剖面圖。
圖3B是大致上沿著圖2之線3B-3B之概略橫剖面圖。
圖4是根據本發明之一替換性實施例,類似於圖2之處理腔室之立體圖。
圖5是根據本發明之一替換性實施例,類似於圖3A之處理腔室之概略橫剖面圖。
圖6是根據本發明之另一替換性實施例,類似於圖2之立體圖。
圖7-9是根據本發明之實施例,顯示用以分隔圖1、2、2A、3A、及3B之處理腔室中的處理空間的分隔部的排列之概略圖。
10‧‧‧沉積系統
12‧‧‧輸入/輸出站
14‧‧‧真空預備站
15‧‧‧基板
16‧‧‧處理腔室
18‧‧‧傳送腔室
20‧‧‧晶圓匣
21‧‧‧平台
22‧‧‧晶圓傳送機構
23‧‧‧平台
24‧‧‧晶圓傳送機構
30‧‧‧系統控制器
86‧‧‧進入孔口
88‧‧‧進入孔口
89‧‧‧顯示基板15旋轉方向的箭頭
102‧‧‧注入孔口
104‧‧‧注入孔口
108‧‧‧注入孔口
110‧‧‧注入孔口

Claims (40)

  1. 一種沉積系統,用於處理複數之基板,該沉積系統包含:一處理腔室,包含一第一壁、與該第一壁分開之一第二壁、及連接該第一壁和該第二壁的一周圍側壁,以定義一處理空間;一基板支座,配置於該第一及該第二壁之間,並位於該周圍側壁之徑向內側,該基板支座係用以相對於該周圍側壁環繞一旋轉軸線而旋轉該等基板;複數之分隔部,各從該旋轉軸線朝向該周圍側壁徑向延伸,該分隔部將該處理空間分割成一第一隔間、及一第二隔間,且該第二隔間係用以容納一惰性氣體;及一第一注入器,穿過該周圍側壁而與該第一隔間連通,該第一注入器係用以注入一第一處理材料至該第一隔間中;其中,該基板支座移動各該基板,以在該第一隔間中依序將各該基板之一上表面暴露於該第一處理材料、且在該第二隔間中依序將各該基板之該上表面暴露於該惰性氣體。
  2. 如申請專利範圍第1項之沉積系統,其中,該分隔部更將該處理空間分割成包含一第三隔間,該第三隔間係用以容納一惰性氣體,該第一隔間係並置於該第二隔間中的該惰性氣體、及該第三隔間中的該惰性氣體之間。
  3. 如申請專利範圍第2項之沉積系統,其中,該基板支座係環繞該旋轉軸線旋轉,以使各該基板依序暴露於該第二隔間中的該惰性氣體、該第一隔間中的該第一處理材料、及該第三隔間中的該惰性氣體。
  4. 如申請專利範圍第1項之沉積系統,其中,該分隔部更將該處理空間分割成包含一第三隔間,而使該第二隔間並置於該第一及該第三隔間之間,且更包含: 一第二注入器,通過該周圍側壁而與該第三隔間連通,該第二注入器係用以引入一第二處理材料至該第三隔間。
  5. 如申請專利範圍第4項之沉積系統,其中,該基板支座係環繞該旋轉軸線旋轉,以使各該基板依序暴露於該第一隔間中的該第一處理材料、該第二隔間中的該惰性氣體、及該第三隔間中的該第二處理材料。
  6. 如申請專利範圍第4項之沉積系統,其中,該分隔部在該旋轉軸線之處交叉,該第一隔間藉由彼此分開一第一夾角之相鄰部分環繞該旋轉軸線加以限制,且該第三隔間藉由彼此分開一第二夾角之相鄰部分環繞該旋轉軸線加以限制,該第二夾角不同於該第一夾角。
  7. 如申請專利範圍第4項之沉積系統,其中,該分隔部更分割該處理空間,以形成一第四隔間,而使該第二隔間並置於該第一及該第三隔間之間,該第四隔間相對於該旋轉軸線位於該第二隔間的徑向相反方向,且該第四隔間係用以容納一惰性氣體。
  8. 如申請專利範圍第1項之沉積系統,其中,該基板支座包含一碟狀承盤,該碟狀承盤設有複數之凹部,各用以固定至少一片該等基板。
  9. 如申請專利範圍第8項之沉積系統,更包含:一驅動馬達,位於該處理腔室之外;一驅動軸,機械方式連接於該承盤,且沿著該旋轉軸線延伸;及一旋轉饋通部,延伸通過該第二壁,並將該驅動軸連接於該驅動馬達,該旋轉饋通部係用以從該驅動馬達轉移旋轉運動至該 驅動軸,以使該承盤繞著該旋轉軸線旋轉。
  10. 如申請專利範圍第9項之沉積系統,更包含:一控制器,電性連接於於該驅動馬達,該控制器操縱該驅動馬達以繞著該旋轉軸線旋轉該承盤。
  11. 如申請專利範圍第8項之沉積系統,其中,該等凹部係以該旋轉軸線為中心依一均勻半徑分佈於該承盤上,相鄰的各對該凹部環繞該旋轉軸線具有大約等角的周向間距。
  12. 如申請專利範圍第1項之沉積系統,其中,該周圍側壁繞著實質上與該旋轉軸線同軸之一方位軸線沿周向延伸。
  13. 如申請專利範圍第1項之沉積系統,其中,該第一注入器係用以大致上朝向該旋轉軸線注入該第一處理材料至該第一隔間。
  14. 如申請專利範圍第1項之沉積系統,更包含:一第一處理材料源,藉由該第一注入器連接於該第一隔間。
  15. 如申請專利範圍第1項之沉積系統,其中該第一處理材料為包含離子、自由基、或是其組合之一電漿產品,且更包含:電漿產品之一第一源,藉由該第一注入器連接於該第一隔間。
  16. 如申請專利範圍第1項之沉積系統,其中,該第一注入器配置於適當位置以在該第一壁及該基板支座之間的位置注入該第一處理材料,且該沉積系統更包含:一真空孔口,穿過該第一壁,而與該第一隔間及該第二隔間連通;及一真空管線,連接於該真空孔口。
  17. 如申請專利範圍第16項之沉積系統,其中,該分隔部穿過該真空孔口凸出於該真空管線中。
  18. 如申請專利範圍第1項之沉積系統,其中,該第一注入器配置於適當位置以在該第一壁及該基板支座之間的位置注入該第一處理材料,且該沉積系統更包含:一真空孔口,穿過該第二壁,而與該第一隔間及該第二隔間連通。
  19. 如申請專利範圍第1項之沉積系統,其中,該第一注入器更包含複數之注入孔口,該複數之注入孔口係沿周向繞該側壁、且在該第一壁及該基板支座之間配置。
  20. 如申請專利範圍第1項之沉積系統,其中,該第一注入器包含:第一複數之注入孔口,排列於配置在該第一壁及該基板支座之間的一第一平面中;及第二複數之注入孔口,排列於配置在該第一平面及該基板支座之間的一第二平面中。
  21. 如申請專利範圍第20項之沉積系統,其中,該分隔部包含協同限制以界定該第一隔間的一第一分隔部及一第二分隔部,且該第一複數之注入孔口係沿著接近該第一分隔部之該第一隔間之內的一第一角弧而排列,該第二複數之注入孔口係沿著接近該第二分隔部之該第一隔間之內的一第二角弧而排列。
  22. 如申請專利範圍第20項之沉積系統,其中,該第一平面係配置於該基板支座上方之一第一高度之處、且該第二平面係配置於該基板支座上方與該第一高度不同之一第二高度之處。
  23. 如申請專利範圍第1項之沉積系統,其中,該第一注入器更包含至少一長形之注入狹縫,此長形之注入狹縫繞該周圍側壁、且在該第一壁與該基板支座之間沿周向延伸。
  24. 如申請專利範圍第1項之沉積系統,其中,該第一注入器包含:一第一注入狹縫,繞著該周圍側壁、且在該第一壁與該基板支座間的一第一平面中沿周向延伸;及一第二注入狹縫,繞著該周圍側壁、且在該第一平面與該基板支座之間的一第二平面中沿周向延伸。
  25. 如申請專利範圍第24項之沉積系統,其中,該分隔部包含協同限制以界定該第一隔間的一第一分隔部及一第二分隔部,且該第一注入狹縫在接近該第一分隔部之該第一隔間之內沿著一第一角弧延伸,且該第二注入狹縫在接近該第二分隔部之該第一隔間之內沿著一第二角弧延伸。
  26. 如申請專利範圍第24項之沉積系統,其中,該第一平面係配置於該基板支座上方之一第一高度之處,該第二平面係配置於該基板支座上方不同於該第一高度之一第二高度之處。
  27. 如申請專利範圍第1項之沉積系統,其中,該處理腔室及該分隔部為靜止的。
  28. 如申請專利範圍第1項之沉積系統,其中,該分隔部可相對於該周圍側壁移動,以使該第一隔間及該第二隔間是連續的。
  29. 一種沉積方法,用以在複數之基板之各基板上沉積一層,該沉積方法包含:將該等基板排列於一基板支座上; 繞著一旋轉軸線旋轉該基板支座;以相對於該旋轉軸線之一徑向方向,將一第一處理材料注入到一第一隔間中,該第一隔間係由界定繞著該旋轉軸線之一第一夾角之分隔部形成;於各個全周旋轉之際,在該第一隔間中暴露各該基板之一上表面於該第一處理材料中,以鍵結該第一處理材料之分子;及於各個全周旋轉之際,在由繞著該旋轉軸線之一第二夾角限制之分隔部形成的一第二隔間中,暴露各該基板於一惰性氣體中。
  30. 如申請專利範圍第29項之沉積方法,更包含:將一第二處理材料注入到一第三隔間中,該第三隔間係由界定繞著該旋轉軸線之一第三夾角之分隔部形成,該第三隔間與該第一隔間係由該第二隔間所分開;及在該第三隔間中,暴露各該基板之該上表面於該第二處理材料中,以使該第二處理材料之分子與該第一處理材料之分子起反應。
  31. 如申請專利範圍第30項之沉積方法,注入第二處理材料更包含:以相對於該旋轉軸線之徑向方向注入該第二處理材料。
  32. 如申請專利範圍第30項之沉積方法,更包含:藉由反複旋轉該基板通過該第一、該第二、及該第三隔間,而使各該基板之該上表面再暴露於該第一及該第二處理材料中,以遞增地沉積該層於各該基板上。
  33. 如申請專利範圍第32項之沉積方法,其中,使各該基板之該上表面再暴露於該第一及該第二處理材料中更包含:當開始注入該第一處理材料、及中斷注入該第一處理材料 時,控制該基板支座之旋轉,以使不同基板可暴露於該第一處理材料。
  34. 如申請專利範圍第30項之沉積方法,更包含:在由界定環繞該旋轉軸線之一第四夾角之分隔部所形成之一第四隔間中,暴露各該基板於一惰性氣體中,該第四夾角係徑向對立於該第二夾角,並分開該第一及該第三隔間。
  35. 如申請專利範圍第34項之沉積方法,更包含:藉由反複地旋轉該等基板通過該第一、該第二、該第三、及該第四隔間,再暴露各該基板之該上表面於該第一及該第二處理材料中,以遞增地沉積該層於各該基板上。
  36. 如申請專利範圍第30項之沉積方法,更包含:在由界定環繞該旋轉軸線之一第三夾角之分隔部所形成之一第三隔間中,暴露各該基板於一惰性氣體中,且由該第一隔間分開該第三隔間及該第二隔間。
  37. 如申請專利範圍第29項之沉積方法,更包含:加熱該基板支座及該基板。
  38. 如申請專利範圍第29項之沉積方法,其中,在該基板支座上方之一位置將該第一處理材料注入該第一隔間中,且更包含:經由連通該第一隔間之一前級管線,從該基板支座上方之一位置排空該第一隔間。
  39. 如申請專利範圍第29項之沉積方法,其中,將該第一處理材料注入該第一隔間更包含:在該基板支座上方之一第一高度處,將該第一處理材料之一 第一流動注入到該第一隔間中之一第一弧之一部份;及在該基板支座上方之一第二高度處,將該第一處理材料之一第二流動注入到該第一隔間中之該第一弧之另一部份。
  40. 如申請專利範圍第29項之沉積方法,其中,旋轉該基板支座更包含:以一恆定角速度環繞該旋轉軸線旋轉該基板支座。
TW097103865A 2007-02-12 2008-02-01 原子層沈積系統及方法 TWI438300B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/673,852 US8043432B2 (en) 2007-02-12 2007-02-12 Atomic layer deposition systems and methods

Publications (2)

Publication Number Publication Date
TW200900527A TW200900527A (en) 2009-01-01
TWI438300B true TWI438300B (zh) 2014-05-21

Family

ID=39432907

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097103865A TWI438300B (zh) 2007-02-12 2008-02-01 原子層沈積系統及方法

Country Status (6)

Country Link
US (1) US8043432B2 (zh)
JP (1) JP5372779B2 (zh)
KR (1) KR101420465B1 (zh)
CN (1) CN101611167A (zh)
TW (1) TWI438300B (zh)
WO (1) WO2008100846A2 (zh)

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9226407B2 (en) * 2002-07-01 2015-12-29 Semigear Inc Reflow treating unit and substrate treating apparatus
DE102008010041A1 (de) * 2007-09-28 2009-04-02 Osram Opto Semiconductors Gmbh Schichtabscheidevorrichtung und Verfahren zu deren Betrieb
JP5195174B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5276388B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5276386B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラム及びこれを記憶するコンピュータ可読記憶媒体
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP2010073823A (ja) * 2008-09-17 2010-04-02 Tokyo Electron Ltd 成膜装置、成膜方法、及びコンピュータ可読記憶媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
TWI465599B (zh) * 2008-12-29 2014-12-21 K C Tech Co Ltd 原子層沉積裝置
FI123539B (fi) * 2009-02-09 2013-06-28 Beneq Oy ALD-reaktori, menetelmä ALD-reaktorin lataamiseksi ja tuotantolinja
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5490585B2 (ja) * 2009-05-29 2014-05-14 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5444961B2 (ja) * 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5482196B2 (ja) * 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5396264B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
US8034723B2 (en) * 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5423529B2 (ja) 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
FI124414B (fi) * 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
FI124113B (fi) * 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
FI20105902A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
FI20105909A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Suutinpää
JP5369304B2 (ja) * 2010-09-30 2013-12-18 ソイテック 原子層堆積によって半導体材料を形成するためのシステム及び方法
CN102477543A (zh) * 2010-11-23 2012-05-30 英作纳米科技(北京)有限公司 旋转式空间隔离化学气相淀积方法及其设备
KR101829669B1 (ko) * 2011-01-04 2018-02-19 주식회사 원익아이피에스 박막 증착 방법 및 박막 증착 장치
KR101804127B1 (ko) * 2011-01-28 2018-01-10 주식회사 원익아이피에스 박막 증착 방법
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
TW201246297A (en) * 2011-04-07 2012-11-16 Veeco Instr Inc Metal-organic vapor phase epitaxy system and process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6000665B2 (ja) * 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) * 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
US9748125B2 (en) 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
WO2014008557A1 (en) * 2012-07-13 2014-01-16 Gallium Enterprises Pty Ltd Apparatus and method for film formation
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5876398B2 (ja) * 2012-10-18 2016-03-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9493874B2 (en) 2012-11-15 2016-11-15 Cypress Semiconductor Corporation Distribution of gas over a semiconductor wafer in batch processing
JP5939147B2 (ja) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102193652B1 (ko) 2013-03-11 2020-12-21 어플라이드 머티어리얼스, 인코포레이티드 고온 공정 챔버 리드
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
CN104342637B (zh) * 2013-07-26 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种原子层沉积设备
US20150034699A1 (en) * 2013-08-01 2015-02-05 Semigear Inc Reflow treating unit & substrate treating apparatus
US9694436B2 (en) * 2013-11-04 2017-07-04 Veeco Precision Surface Processing Llc System and method for flux coat, reflow and clean
US10607875B2 (en) * 2013-11-11 2020-03-31 Eryn Smith Automated electrostatic bonding/de-bonding apparatus
CN104746046A (zh) * 2013-12-29 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 原子层沉积设备
CN104746048A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP2015180768A (ja) * 2014-03-06 2015-10-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びに記録媒体
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102297567B1 (ko) 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR101723546B1 (ko) * 2014-10-20 2017-04-05 주식회사 케이씨텍 박막 형성방법 및 원자층 증착장치
KR102372893B1 (ko) * 2014-12-04 2022-03-10 삼성전자주식회사 발광 소자 제조용 화학 기상 증착 장치
TWI676709B (zh) 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
JP6398761B2 (ja) * 2015-02-04 2018-10-03 東京エレクトロン株式会社 基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US20170029948A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US20170088952A1 (en) * 2015-09-28 2017-03-30 Ultratech, Inc. High-throughput multichamber atomic layer deposition systems and methods
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017139483A1 (en) 2016-02-12 2017-08-17 Tokyo Electron Limited Method and apparatus for multi-film deposition and etching in a batch processing system
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI729101B (zh) 2016-04-02 2021-06-01 美商應用材料股份有限公司 用於旋轉料架基座中的晶圓旋轉的設備及方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11015246B2 (en) 2016-04-24 2021-05-25 Applied Materials, Inc. Apparatus and methods for depositing ALD films with enhanced chemical exchange
TWI734770B (zh) 2016-04-24 2021-08-01 美商應用材料股份有限公司 用於防止空間ald處理腔室中之背側沉積的設備
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US20210087687A1 (en) * 2017-04-10 2021-03-25 Picosun Oy Uniform deposition
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US12006570B2 (en) 2017-08-31 2024-06-11 Uchicago Argonne, Llc Atomic layer deposition for continuous, high-speed thin films
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102580108B1 (ko) * 2018-03-20 2023-09-18 도쿄엘렉트론가부시키가이샤 통합된 단부-대-단부 영역-선택적 침착 프로세스를 위한 플랫폼 및 동작 방법
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7249744B2 (ja) * 2018-08-02 2023-03-31 東京エレクトロン株式会社 成膜装置及び成膜方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20200056273A (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
WO2020101375A1 (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129578B (en) 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
CN112176321A (zh) * 2020-10-21 2021-01-05 江苏集萃有机光电技术研究所有限公司 一种原子层沉积装置及原子层沉积方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114790543A (zh) * 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 用于沉积层的方法和系统
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11961716B2 (en) * 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3696779A (en) * 1969-12-29 1972-10-10 Kokusai Electric Co Ltd Vapor growth device
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5366554A (en) * 1986-01-14 1994-11-22 Canon Kabushiki Kaisha Device for forming a deposited film
US4994301A (en) * 1986-06-30 1991-02-19 Nihon Sinku Gijutsu Kabusiki Kaisha ACVD (chemical vapor deposition) method for selectively depositing metal on a substrate
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
DE10141084A1 (de) * 2001-08-22 2002-11-28 Infineon Technologies Ag Vorrichtung zur Abscheidung von dünnen Schichten auf einem Stubstrat und entsprechendes Verfahren
AU2002343583A1 (en) * 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
KR100452525B1 (ko) * 2001-12-26 2004-10-12 주성엔지니어링(주) Ald 공정에 적합한 가스 인젝터
JP3670628B2 (ja) * 2002-06-20 2005-07-13 株式会社東芝 成膜方法、成膜装置、および半導体装置の製造方法
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
JP4879509B2 (ja) * 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
JP2006028577A (ja) * 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
KR100558922B1 (ko) 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법

Also Published As

Publication number Publication date
CN101611167A (zh) 2009-12-23
KR20090118951A (ko) 2009-11-18
US20080193643A1 (en) 2008-08-14
WO2008100846A2 (en) 2008-08-21
JP5372779B2 (ja) 2013-12-18
KR101420465B1 (ko) 2014-07-16
JP2010518259A (ja) 2010-05-27
WO2008100846A3 (en) 2008-11-06
US8043432B2 (en) 2011-10-25
TW200900527A (en) 2009-01-01

Similar Documents

Publication Publication Date Title
TWI438300B (zh) 原子層沈積系統及方法
US11821083B2 (en) Gas separation control in spatial atomic layer deposition
US10475641B2 (en) Substrate processing apparatus
US11742189B2 (en) Multi-zone reactor, system including the reactor, and method of using the same
KR101584817B1 (ko) 성막 장치
CN101994101B (zh) 成膜装置
KR101569944B1 (ko) 성막 장치
TWI486481B (zh) 成膜裝置、成膜方法及電腦可讀式記憶媒體
US8840727B2 (en) Film deposition apparatus, substrate processor, film deposition method, and computer-readable storage medium
US7923069B2 (en) Multi-station deposition apparatus and method
US20070215036A1 (en) Method and apparatus of time and space co-divided atomic layer deposition
US20100055316A1 (en) Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium
JP2010073823A (ja) 成膜装置、成膜方法、及びコンピュータ可読記憶媒体
KR20210035741A (ko) 성막 장치 및 성막 방법
KR102491924B1 (ko) 성막 방법 및 성막 장치
KR100422398B1 (ko) 박막 증착 장비
TW202334493A (zh) 用於處理腔室蓋同心度對齊的設備與方法
TWI780369B (zh) 操作空間沉積工具的方法
US20230227974A1 (en) Substrate processing apparatus and method