CN101611167A - 原子层沉积系统和方法 - Google Patents

原子层沉积系统和方法 Download PDF

Info

Publication number
CN101611167A
CN101611167A CNA2008800048272A CN200880004827A CN101611167A CN 101611167 A CN101611167 A CN 101611167A CN A2008800048272 A CNA2008800048272 A CN A2008800048272A CN 200880004827 A CN200880004827 A CN 200880004827A CN 101611167 A CN101611167 A CN 101611167A
Authority
CN
China
Prior art keywords
compartment
rotation
substrate
depositing system
obstruct section
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2008800048272A
Other languages
English (en)
Inventor
安东尼·迪朴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101611167A publication Critical patent/CN101611167A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本发明公开了使用原子层沉积(ALD)来沉积薄膜的系统和方法。沉积系统(10)包括具有周侧壁(36)的处理室(16)、将处理室(16)内的处理空间(38)划分成至少两个隔室(76、78)的隔断部(68、70、72、74)、以及支撑处理空间(38)内的衬底(15)的盘体(50)。盘体(50)使衬底(15)相对于静止的周侧壁(36)和隔室(76、78)转动。一个隔室(76)接收用于在每个衬底(15)上沉积膜的处理材料,并且另一个隔室(78)含有惰性气体。注入处理材料的材料注入器(100、100a、100b)通过周侧壁(36)与隔室(76)相连通。

Description

原子层沉积系统和方法
技术领域
本发明涉使用原子层沉积(ALD)来沉积薄膜的系统和方法。
背景技术
随着微电子的尺寸的减小和对这样的材料的需要的增加,在较大的衬底区域上的、具有均匀成分和均匀厚度的半导体薄膜越来越必要。用于在衬底上沉积薄膜的常见处理工艺是化学气相沉积(CVD),其用于在复杂的装置形貌上沉积相对均匀的膜。在典型的CVD处理中,衬底被暴露到两个或更多的挥发性的前驱体,其在衬底表面上反应与/或分解以产生所期望的薄膜。
尽管CVD对之前的沉积技术有所改进,但是CVD具有几个缺陷。例如,由于CVD与流量有关,例如衬底温度、压力和气体流率之类的沉积条件必须被准确地、一致地维持,以产生具有均匀厚度的期望薄膜。此外,CVD容易将不期望的反应产物引入被沉积的薄膜中,从而降低薄膜的纯度。
代表了CVD的一种修改方案的原子层沉积(ALD)是用于沉积薄膜的近期技术,目前成为实现较高均匀性、保形的膜沉积的潜在优良方法。ALD是如下的处理工艺,其中,将传统的CVD处理工艺划分为分立的沉积步骤,通过按顺序地在各个沉积步骤中沉积单个的原子单层来构成薄膜。ALD的技术基于通过化学吸附形成反应前驱体分子的饱和单层的原理。典型的ALD处理工艺包括在一段时间内注入第一前驱体直至衬底上形成饱和单层。之后,采用惰性气体将第一前驱体从处理室中清除。接着,同样在一段时间内将第二前驱体注入处理室中,从而通过第二前驱体与第一前驱体的反应在晶片上形成了层。然后,将第二前驱体从室中清除。这个引入第一前驱体、对处理室进行清除、引入第二前驱体、对处理室进行清除的处理被重复多次,以获得具有理想厚度的层。
可以采用使反应气体前驱体水平地注入处理室的单个晶片反应器来沉积ALD薄膜。水平气体前驱体注入将气体前驱体沿着在与静止衬底的表面平行的方向引导。反应气体前驱体在平行于衬底表面的方向上流动的设置是理想的,因为相比那些通过在垂直于衬底表面的方向被竖直地注入的气体前驱体进行的沉积,能产生更均匀的薄膜。当然,单个晶片反应器的主要缺点是由于其相对较低的产率而显著地降低了商业价值。另一个缺点是必须在各个气体前驱体被注入之前对处理室进行清除。
为了至少部分克服与单个晶片反应器相关的商业问题,多个晶片反应器可以被用于ALD处理,其中处理室被分为多个处理隔室。衬底相对于处理隔室转动,使得每个衬底相继地从一个隔室传送到另一个隔室。在每个独立的处理隔室中,每个衬底被暴露于前驱体气体或惰性气体。转动的衬底被相继暴露于不同的前驱体气体和惰性气体。这些多个晶片反应器的一个典型缺点是多个晶片反应器采用喷头式注入器,其在与衬底表面大体上垂直的轴对称方向上注入前驱体气体。因此,尽管实现了较快的处理时间,但是多个晶片ALD处理室可能产生厚度均匀性被降低的薄膜。
因此,需要一种多个晶片处理室,其中反应气体前驱体沿着与衬底的上表面平行的方向被注入每个处理隔室。
发明内容
本发明的一个实施例提供了一种用于处理多个衬底的沉积系统。沉积系统包括处理室,处理室其包括第一壁、与第一壁间隔的第二壁、以及将第一壁和第二壁连接的周侧壁,从而界定出处理空间。衬底支撑被构造为在第一壁和第二壁之间并且在周侧壁的径向内部支撑衬底。衬底支撑被构造为使衬底绕旋转轴线相对于周侧壁在封闭的路径中转动。多个隔断部相对于旋转轴线朝向周侧壁延伸。隔断部将处理空间划分成多个隔室,多个隔室包括第一隔室和包含惰性气氛的第二隔室。第一材料注入器通过周侧壁而与第一隔室相连通并且被构造为将第一处理材料注入到第一隔室中。在每个绕旋转轴线的完整的转动期间,每个衬底的顶表面相继暴露于第一隔室中的第一处理材料以及第二隔室中的惰性气氛。
本发明的一个实施例提供了一种用于在多个衬底的每个上沉积层的方法。方法包括:将衬底绕旋转轴线以间隔的周向关系设置并使衬底绕旋转轴线在封闭的路径上转动。方法还包括将第一处理材料以相对于旋转轴线的径向方向注入第一隔室,第一隔室由绕旋转轴线界定了第一夹角的隔断部所限定。在每次完整的转动期间,将每个衬底的顶表面暴露于第一隔室中的第一处理材料以使第一处理材料的分子键合,并且还暴露于由绕旋转轴线界定了第二夹角的隔断部所限定的第二隔室中的惰性气氛。
附图说明
被包含并构成本说明书的一部分的附图示出了本发明的实施例,加上以上对本发明的大体描述以及以下的详细描述,共同用于说明本发明。
图1是根据本发明实施例的包括处理室的沉积系统的俯视平面示意图;
图2是为了清楚省去顶壁的图1中的处理室的立体图;
图2A是图2中的处理室的俯视图;
图3A是大体上沿图2中的线3A-3A所取的示意性剖视图;
图3B是大体上沿图2中的线3B-3B所取的示意性剖视图;
图4是根据本发明可选实施例的处理室的与图2相似的立体图;
图5是根据本发明可选实施例的处理室的与图3A相似的示意性剖视图;
图6是根据本发明另一个可选实施例的处理室的与图2相似的立体图;
图7-9是示出根据本发明的实施例的用于将图1、2、2A、3A和3B中的处理室内的处理空间分隔开的隔断布置的示意图。
具体实施方式
参照图1,用于处理多个衬底15的沉积系统10包括输入/输出台12、加载/锁止台14、处理室16以及位于加载/锁止台14和处理室16之间的传送室18。正如本领域技术人员所公知的,以简化方式示出的沉积系统10还可以包括额外的结构,如附加的真空隔离壁,其将加载/锁止台14与传送室18连结并将处理室16与传送室18连结。具有或接近大气压力(例如760Torr)的输出/输出台12适于接收晶片盒20,例如前开式晶片盒(FOUPs)。晶片盒20的尺寸和形状适于保持多个衬底15,如直径例如200或300毫米的半导体晶片。
在处理室16和传送室18被隔离并被连续地维持在真空压力的同时,加载/锁止台14可以从大气压力被抽吸到真空压力并可以从真空压力被通气为大气压力。加载/锁止台14保持从输出/输出台12的大气压力环境引入的多个晶片盒20。加载/锁止台14包括平台21、23,其每个支撑一个晶片盒20,并且可以被竖直地指引以帮助将晶片传送到处理室16中和从处理室16传送晶片。
晶片传送机构22在真空下将衬底15从加载/锁止台14中的一个晶片盒20传送通过传送室18并传送到处理室16中。另一个晶片传送机构24在真空下将在处理室16中被处理的衬底15从处理室16传送通过传送室18并传送到晶片盒20。彼此独立地操作以提高沉积系统10的产率的晶片传送机构22、24可以是通常用于拾取与放置操作的选择顺应性铰接/组装机械手臂式(SCARA)自动机械。晶片传送机构22、24包括被构造为在传送过程中紧固衬底15的端部执行器。处理室16可以包括分别用于晶片传送机构22、24的不同的第一和第二可密封端口(未图示),以可进入处理室16内的处理空间38(图3A、3B)。当处理室16中正在进行沉积处理时,进入端口被密封。晶片传送机构22在图1中被示为将未处理的衬底15从加载/锁止台14的平台21上的晶片盒20传送到处理室16。晶片传送机构24在图1中被示为将处理后的衬底15从处理室16传送到加载/锁止台14的平台23上的晶片盒20。
晶片传送机构24也可以将从处理室16提取的处理后的衬底15传送到用于检查的测量台26或传送到用于对衬底15进行后处理低压冷却的冷却台28。在测量台26执行的处理可以包括但不限于用于测量膜厚和/或膜成分的常规技术,如椭圆光度法和用于污染控制的颗粒测量技术。
沉积系统10装配有系统控制器30,其被程序化为控制并协调沉积系统10的工作。系统控制器30通常包括中央处理单元(CPU),用于控制各种系统功能、室处理和支援硬件(例如探测器、自动机械、电动机、气体源硬件等),并监测系统和室处理(例如室温度、处理序列、室处理时间、输入/输出信号等)。软件指令和数据可以被编码并存储在存储器中,用于指示CPU。系统控制器30可执行的软件程序确定了在衬底15上执行的任务,包括与处理序列任务和各种室处理配方步骤的监视和执行相关的任务。
继续参照图2、2A、3A和3B,处理室16包括第一壁32、第二壁34、以及在第一壁32和第二壁34之间延伸并将第一壁32和第二壁34连接的周侧壁36,从而限定了处理空间38的边界。第二壁34与第一壁32间隔开的距离为周侧壁36的高度或竖直尺寸d,并且第二壁34的指向大体平行于第一壁32。周侧壁36绕对称方位轴40周向延伸。周侧壁36的直径显著大于垂直尺寸d,因此处理室16具有扁平“饼状”的几何形状。
形成为以直角弯曲的管路或管道的前级管线42被连接到延伸通过处理室16的第一壁32的端口44。前级管线42以流体连通的方式连接处理空间38和真空系统46,真空系统46包括用于将气体从如处理空间38的封闭体积中排空的常规真空泵。尽管前级管线42被描述为以直角弯曲,但是本领域的技术人员将理解前级管线42可以具有任意期望的角度弯曲或可以是不弯曲的直线。后者的结构被认为将优化泵送传导性。
具有衬底支撑48的形式的基座被布置在处理室16中。衬底支撑48包括盘状的盘体50和被限定在盘体50的顶表面49中的多个圆形穴部或凹部52。盘体50的顶面49朝向第一壁32,使得凹部52朝向第一壁32开口。
每个凹部52被构造为将至少一个衬底15保持在处理室16的周侧壁36的径向以内的位置。各个凹部52的数目例如可以在从2到7的范围。然而,本领域技术人员应该理解,可以基于衬底15的尺寸和衬底支撑48的尺寸将衬底支撑48构造为具有任意期望数目的凹部52。例如,将盘体50构造具有3到6个接收圆形衬底15的凹部52,可以优化顶表面49的表面区域的可用部分。此外,尽管本发明的实施例被描述为具有盘状或圆形的几何形状的凹部52,本领域技术人员应该理解,凹部52可以具有任意期望的形状以容纳适当形状的衬底。
沉积系统10可以被构造为处理200mm的衬底、300mm的衬底或更大尺寸的圆形衬底,其尺寸将反应为凹部52的尺寸。实际上,本领域技术人员应该理解,可以构思的是,将沉积系统10构造为处理任意尺寸的衬底、晶片或液晶显示屏。因此,虽然结合作为半导体衬底的衬底15的处理来描述本发明的方面,但是本发明不限于此。
凹部52绕以旋转轴54为中心的均匀半径被周向分布在盘体50上。凹部52绕旋转轴54具有大致等角的间隔,旋转轴54大体上与方位轴40共线或同轴,然而本发明不限于此。如图2A中最佳示出,相邻的凹部52可以被分开达等于s-2wr的间距,其中s是相邻凹部52的中心之间的距离,并且wr是相邻凹部52的半径。用于对处理空间38的气压进行抽吸的前级管线42沿着旋转轴54布置在盘体50的上方。
继续参照图2、2A、3A和3B,衬底支撑48的盘体50通过驱动轴58与被设置在处理室16外部的驱动电动机56连接。沿旋转轴线54延伸的驱动轴58被布置在第二壁34的管状延伸部35中。旋转真空穿通部60将驱动轴58和驱动电动机56连接。旋转真空穿通部60被构造为将旋转运动从驱动电动机56通过第二壁34传递到驱动轴58,用于使盘体50绕旋转轴线54转动。
电连接到驱动电动机56的控制器62操纵驱动电动机56以使盘体50和驱动轴58绕旋转轴线54转动。当衬底15在处理室16中被处理时,盘体50的转动可以持续并可以体现为绕旋转轴54的恒定的角速度。抑或,角速度可以暂时基于盘体50相对于任意参照点的角度方向而变化。
在盘体50和第二壁34之间的处理空间38的部分包括托架64和加热器66。加热器66被连接到托架64,使得加热器66位于盘体50的底表面51和托架64的上表面65之间的中间位置。当被通电时,加热器66将热能传递到盘体50,用于将被保持在多个凹部52内的衬底15加热到期望的处理温度。
隔断部68、70、72、74将处理室16的处理空间38间隔为多个隔室76、78、80、82。隔断部68、70、72、74朝向周侧壁36相对于旋转轴线54径向地延伸。隔断部68、70、72、74从盘体50的顶表面49朝向第一壁32向上突伸。隔断部68、70、72、74每个具有高度或竖直尺寸d1,其约等于盘体50的顶表面49和第一壁32的相对表面之间的竖直尺寸d2。隔断部68在周侧壁36附近的第一端部68a和接近旋转轴线54的第二端部68b之间延伸。隔断部70、72、74分别具有类似的第一和第二端部70a、b,72a、b和74a、b。
隔断部68、70、72、74的各个端部68b、70b、72b、74b在前级管线42内部、在旋转轴线54处相交,这可以进一步减小四个隔室76、78、80、82之间的气体交换。相交的端部68b、70b、72b、74b向上突伸,使得隔断部68、70、72、74在前级管线42内部的竖直尺寸d3大于它们在前级管线42外部的竖直尺寸d1。然而,本发明不限于此,隔断部68、70、72、74可以沿其整个长度具有均一的竖直尺寸d1
隔室76被限定为处理空间38的界定在第一隔断部68、第二隔断部70、第一壁32、第二壁34和周侧壁36之间的一部分。隔室78被限定为处理空间38的界定在第二隔断部70、第三隔断部72、第一壁32、第二壁34和周侧壁36之间的一部分。隔室80被限定为处理空间38的界定在第三隔断部72、第四隔断部74、第一壁32、第二壁34和周侧壁36之间的一部分。隔室82被限定为处理空间38的界定在第一隔断部68、第四隔断部74、第一壁32、第二壁34和周侧壁36之间的一部分。尽管示意性地示出了四个隔断部68、70、72、74,但是本领域技术人员应该理解,处理室16可以被任意适当的多个隔断部划分以形成多于或少于四个的隔室。
继续参照图2、2A、3A和3B,特别是图2A,沉积系统10还包括清除气体供应系统84,其通过相应的气体管线85、87与具有穿透周侧壁36的入口86、88连接。入口86和气体管线85将隔室78和清除气体供应系统84连接。入口88和气体管线87将隔室82和清除气体供应系统84连接。清除气体供应系统84被形成为将清除气体的气流引入隔室78和82。被引入隔室78和82的清除气体可以包括如稀有气体(即,氦、氖、氩、氙、氪)之类的惰性气体、或氮气、或氢气。在衬底处理的过程中,清除气体被持续地引入隔室78和82以提供气屏或气障来防止或至少显著限制第一和第二处理材料在隔室76、80之间的传送。清除气体还提供了隔室78和82内部的惰性气压,从而使在衬底50在盘体50上被传送通过隔室78、82时,衬底15承载的任何处理材料层基本不会改变。隔室76被并置在隔室78、82之间并且隔室80被并置在隔室78、82之间,从而隔室78、82将隔室76和80分隔,从而为第一和第二处理材料提供彼此隔离。
沉积系统10还包括被构造为将第一处理材料引入隔室76的第一处理材料供应系统90和被构造为将第二处理材料引入隔室80的第二处理材料供应系统92。第一和第二材料供应系统90、92可以各包括如这样的处理材料供应系统中常规具有的一个或多个材料源、一个或多个加热器、一个或多个压力控制装置、一个或多个流量控制装置、一个或多个过滤器、一个或多个阀、一个或多个流量传感器。
第一处理材料例如可以包括前驱体,如具有每个衬底15上形成的膜中含有的主要的原子或分子种类的合成物。例如,前驱体起初可以为固相、液相或气相,并且可以以气相或蒸汽相被输送到隔室76,并且可以有或没有载气的协助。第二处理材料例如可以包括反应物,其同样可以具有衬底15上形成的薄膜中含有的原子或分子种类。例如,反应物起初可以为固相、液相或气相,并且可以以气相或蒸汽相被输送到隔室80,并且可以有或没有载气的协助。
由第一处理材料供应系统90提供到处理室16的第一处理材料和由第二处理材料供应系统92提供到处理室16的第二处理材料根据将被沉积为衬底上的薄膜的材料的成分和特性来进行选择。例如,第一处理材料可以包括如三甲基铝(TMA)之类的金属有机前驱体,并且第二处理材料可以包括氮气(N2)或氧气(O2)。在另一个示例中,第一处理材料可以包括如氯化钽(TaCl5)之类的前驱体,并且第二处理材料可以包括如氢气(H2)之类的还原剂。对第一和第二处理材料的温度和压力进行选取,以促进薄膜的生长。
第一组注入端口102和第二组注入端口104延伸通过周侧壁36以与隔室76相连通。共同限定了材料注入器100的注入端口102、104通过第一歧管94连接到第一处理材料供应系统90。注入端口102、104将第一处理材料注入隔室76。第三组注入端口108和第四组注入端口110延伸通过周侧壁36以与隔室80相连通。共同限定材料注入器106的注入端口108、110通过第二歧管96连接到第二处理材料供应系统92。注入端口108、110将第二处理材料注入隔室80。
材料注入器100的注入端口102位于靠近第一隔断部68处。注入端口102沿着在第一平面114(图3A)中绕周侧壁36延伸的第一角圆弧112排列。第一平面114位于第二组注入端口104的上方,并且位于盘体50的顶表面49上方的高度h1处。第一平面114大体上与包含顶表面49的平面平行。材料注入器100的注入端口104位于靠近第二隔断部70处。注入端口104沿着在第二平面118中绕周侧壁36延伸的第二角圆弧116排列。第二平面118位于盘体50的顶表面49上方的高度h2处,并且大体上与包含顶表面49的平面平行。
材料注入器106的注入端口108在空间上位于靠近第三隔断部72处。注入端口108沿着在第三平面122中绕周侧壁36延伸的第三角圆弧120排列。第三平面122位于第二组注入端口110的上方,并且位于盘体50的顶表面49上方的高度h3处。第三平面122大体上与包含顶表面49的平面平行。注入端口110在空间上位于接近第四隔断部74处。注入端口110沿着在第四平面126中绕周侧壁36延伸的第四角圆弧124布置。第四平面126位于盘体50的顶表面49上方的高度h4处,并且与包含顶表面49的平面平行。角圆弧112、116、120、124的每个绕周侧壁36被周向地测量。
在本发明的一个实施例中,第一组注入端口102和第三组注入端口108基本上共面,因此高度h1和高度h3基本上相等;并且第二组注入端口104和第四组注入端口110基本上共面,因此高度h2和高度h4基本上相等。本领域技术人员应理解,高度h1-h4可以被调整,从而平面114、118、122、126可以具有其它的相对设置。
在本发明的一个具体实施例中,第一角圆弧112和第三角圆弧120可以各跨越约44°的弧度角。第二角圆弧116和第四角圆弧124可以各跨越约22°的弧度角。本领域技术人员应理解,每个隔室76、78、80、82可以独立地分别具有任意理想数量的注入端口102、104、108、110,并且相应的角圆弧112、116、120、124可以各跨越任意期望的弧度角。注入端口102、104、108、110的数量和角圆弧112、116、120、124的弧度角被选择以协调用于优化被沉积在每个衬底15上的薄膜的至少一个属性,并/或用于优化第一和第二处理材料经过衬底15的顶表面的流动。
材料注入器100、106可以被构造为在离开周侧壁36并朝向旋转轴线54的大体径向方向上注入相应的第一和第二处理材料。在这种结构中,处理材料从每个材料注入器100、106的注入与同时发生的通过前级管线42的抽真空的结合产生了来自周侧壁36来的各个处理材料的气流,该气流在大体上平行于每个衬底15的顶表面的方向上经过衬底15,并且朝向前级管线42的中心位置。因此,当新的处理材料被补入隔室76、80中时,过量的处理材料和在衬底15的顶表面蒸发的、反应所得的沉积副产物通过前级管线42被移除。
当衬底支撑48绕旋转轴线54转动时,凹部52绕盘体50的圆周的设置允许每个衬底15相继地暴露于隔室76、78、80、82每个内部的不同环境中。通过示例的方式,在衬底支撑48旋转过2π弧度(360°)的封闭路径后,每个衬底15按顺序地被暴露于第一隔室76内部的环境中的第一处理材料,然后被暴露于组成第二隔室78内部的环境的清除气体,继而被暴露于第三隔室80内部的环境中的第二处理材料,并且最后被暴露于组成第四隔室82内部的环境的清除气体。如将被沉积在每个衬底15上的薄膜的特性所需求的,每个凹部52在每个相应的隔室76、78、80、82中具有足以形成薄膜的期望保留时间。
第二壁34包括底部清除开口130,用于将清除气体从清除气体系统131引入到衬底支撑48之下或下方的处理室16中。衬底支撑48下方存在的清除气体可以用于减少第一和第二过程材料在其各自的隔室76、80外部的传送。
参照图1、2、2A、3A和3B,沉积系统10的处理室16用于在每个衬底15上沉积出层,其中所述每个衬底15被接收在盘体50上的凹部52中并绕旋转轴54以间隔的周向关系布置。衬底15在封闭的路径上绕旋转轴线54连续地转动,如单箭头89所示(图1、2、2A)。角速度例如可以是约240转每分钟。第一处理材料在绕旋转轴54的第一角圆弧112的范围上在相对于旋转轴线54的径向方向上被注入到界定第一隔室76中。在盘体50每次完整的转动中,每个连续转动的衬底15被暴露于第一隔室76中的第一处理材料。第一处理材料以在第一角圆弧112的范围上在衬底15上方的第一高度h1处的第一流被注入到第一隔室76内,并且以在第二角圆弧116的范围上在衬底15上方的第二高度h2处的第二流被注入到第一隔室76内部。
在暴露于第一处理材料之前和之后,在每次完整的转动期间,每个连续转动的衬底被暴露于分别在绕旋转轴线54的第二和第四角圆弧116、126的范围上并在第二和第四隔室78、82中的惰性气氛。第二处理材料在相对于旋转轴线54的径向方向上被注入第三隔室80中,第三隔室80通过第二和第四隔室78、82而与第一隔室76分隔。通过第一和第二处理材料的化学反应,层在每个连续地转动的衬底15上递增地被形成为一系列离散的薄膜片层。作为示例而非限制,第一和第二隔室76、80内部的真空压力可以在10Torr的量级,并且衬底15在被暴露于第一和第二处理材料的同时可以被加热到约400℃的处理温度。
沉积处理类型是常规沉积技术,其中衬底15上的薄膜或其一部分的每个原子层的沉积通过交替并相继地引入适当的气相前驱体来进行控制,气相前驱体以自限制的方式发生反应,以增量地形成或构建薄膜。在第一隔室76中,第一处理材料的分子键合(化学的方式,通过吸收、吸附等)到每个衬底15的顶表面上,以形成第一处理材料的单分子层或部分单分子层。在第三隔室80中,第二处理材料在每个相继的衬底15上与第一处理材料的分子反应。当衬底15被转动通过第一和第三隔室76、80时,这些步骤通过继续顺序地暴露到第一和第二处理材料被重复。第一和第三隔室76、80中的第一和第二处理材料的环境分别地通过第二和第四隔室78、82内部的不发生化学反应的清除气体而彼此隔离。第一和第三隔室76、80内部的环境不会改变,从而实现相继地暴露于第一和第二材料。
衬底15可以被加热到处理温度以促进沉积处理。相比主要热驱动的CVD过程,ALD主要是化学驱动。相应地,ALD可以在远比CVD低的衬底温度下进行。
衬底15的转动可以被调节,使得当第一处理材料的注入开始和第一处理材料的注入中断时,不同的衬底15被暴露于第一处理材料。这种调节可以有效用于控制相对较薄的沉积层的均匀性。隔室76、78、80、82可以通过在衬底上方的位置与第一隔室相连通的前级管线42被抽真空。衬底15可以绕旋转轴线54以恒定的角速度转动。
本发明预期的是分隔处理空间38的隔断部68、70、72、74可以被调节,从而隔室76、78、80、82被合并为用于在处理室16中通过CVD处理来沉积出层的单个隔室。具体地,隔断部68、70、72、74可以被构造为如附图标记135所示通过定位装置(未图示)绕大体上从旋转轴线54延伸的径向轴137而从竖直方位的姿态(如图1、2、2A、3A和3B所示)枢转为大体上水平方位的姿态。通过材料注入器100、106注入的气体可以被用来传递用于CVD沉积处理的第一和第二处理材料。CVD沉积处理可以在使衬底支撑48转动或使衬底支撑48静止的情况下进行。
参照根据本发明的可选实施例的图4,其中相似的标记表示如图1、2、2A、3A和3B中相似的特征,处理室16a包括材料注入器100a,用槽形的注入端口136、138代替相应的各组注入端口102、104(图2、2A、3A和3B);以及材料注入器100b,用槽形的注入端口140、142代替相应的各组注入端口108、110(图2、2A、3A和3B)。类似于注入端口102,注入槽136在空间上位于接近第一隔断部68处,并且沿着第一角圆弧112对齐。类似于注入端口104,注入槽138在空间上位于接近第二隔断部70处,并且沿着第二角圆弧116对齐。类似于注入端口108,注入槽110在空间上位于接近第三隔断部72处,并且沿着第三角圆弧120对齐。类似于注入端口110,注入槽142空间上位于接近第四隔断部74处,并且沿着第四角圆弧124布置。注入槽136、138、140、142的性质基本上类似于如上所述的注入端口102、104、108、110的性质。
参照根据本发明的可选实施例的图5,其中相似的标记代表如图1、2、2A、3A、3B和4中相似的特征,处理室16b包括前级管线42a,其形为以直角弯曲的管路或管道的形式并被连接到延伸通过处理室16b的第二壁34的端口44a。在这种底部泵吸的结构中,过量的处理材料和沉积副产品通过端口44a被从处理室16b中移除。在该实施例中,第一和第二角圆弧112、116重叠,从而至少一个注入端口102与至少一个注入端口104在空间上重叠,但是位于不同的平面114、118中。类似地,在该实施例中,第三和第四角圆弧120、124重叠,从而至少一个注入端口108与至少一个注入端口110在空间上重叠,但是位于不同的平面122、126中。
参照根据本发明的可选实施例的图6,其中相似的标记代表如图1、2、2A、3A、3B、4和5中相似的特征,处理室16c包括材料注入器100b,其包括将第一处理材料以包括离子、基团或它们的组合的等离子体产物的形式提供到隔室76的等离子体源144。波导146a、b将等离子体源144连接到处理室16c的周侧壁36中的注入端口148、150。注入端口148位于接近第一隔断部68处,并且注入端口150位于接近第二隔断部70处。处理室16c还包括材料注入器106b,其包括将第二处理材料以包括离子、基团或它们的组合的等离子体产物的形式提供到隔室80的等离子体源152。波导154a、b将等离子体源152连接到处理室16c的周侧壁36中的注入端口156、158。注入端口156位于接近第三隔断部72处,并且注入端口158位于接近第四隔断部74处。
处理室16c可以被用于在衬底15上薄膜的等离子体增强原子层沉积。本发明构思的是,尽管处理室16c包括多个等离子体源144、152,第一处理材料或第二处理材料任一者可以利用注入端口102、104、108、110(图1、2、2A、3A、3B)或槽形的注入端口136、138、140、142(图4)被提供到隔室76、80。注入端口148、150绕侧壁36的位置可以被用于调节衬底15上被沉积的膜的均匀性。
参照图7-9,隔断部68、70、72、74的角度方向可以被调整,以改变隔室76、78、80、82所占的角度部分的弧长。
具体参照图7,隔断部68、70、72、74相对于彼此以夹角为相等的直角布置。当衬底支撑48(图2)的盘体50以恒定的角速度转动时,盘体50承载的衬底15被相继地被暴露于每个隔室76、80达大致相同的保留时间,以在每个衬底15的顶表面上反复形成第一和第二处理材料的层。这种对于隔断68、70、72、74的布置是图1-6所示的处理室16、16a、16b、16c的代表。
具体参照图8,处理空间38被类似于隔断部68、70、72、74的隔断部68a、70a、72a、74a划分为类似于隔室76、78、80、82的隔室76a、78a、80a、82a。第一和第二隔断部68a、70a被钝角夹角分开并界定隔室76a。第三和第四隔断部72a、74a以钝角夹角分开并界定了隔室80a。第三和第四隔断部72a、74a之间的钝角夹角等于第一和第二隔断68a、70a之间的钝角夹角,这是因为这些钝角夹角是对顶角。第一和第二隔断部68a、70a之间以及第三和第四隔断部72a、74a之间的钝角夹角必然在第二和第三隔断70a、72a之间以及第一和第四隔断部68a、74a之间形成相等的锐角。界定隔室78a的、第二和第三隔断部70a、72a之间的锐角等于界定隔室82a的、第一和第四隔断部68a、74a之间的锐角。这些相等的锐角夹角是对顶角。第二和第三隔断部70a、72a之间的锐角是第三和第四隔断部72a、74a之间或第一和第二隔断部68a、70a之间的任一钝角夹角的补角。第一和第四隔断部68a、74a之间的锐角同样是第三和第四隔断部72a、74a之间或第一和第二隔断部68a、70a之间的任一钝角夹角的补角。
当衬底支撑48(图2)的盘体50以恒定的角速度转动时,相比图7所示的设置,在角速度相等的情况下,盘体50承载的衬底15相继地被暴露于第一和第二处理材料达更长的保留时间。第一和第三隔室76a、80a中的保留时间大致相等。本领域技术人员应理解,隔断部68a、70a、72a、74a之间的角度以及角速度可以被调整,以调节衬底15在每个隔室76a、80a中的保留时间。通过延长保压时间,增加对第一和第二处理材料的暴露可以用于提高薄膜的生长速度。
参照图9,处理空间38被类似于隔断部68、70、72、74的隔断部68b、70b、72b、74b划分为类似于隔室76、78、80、82的隔室76b、78b、80b、82b。第一和第二隔断部68b、70b之间的夹角α1大于第三和第四隔断部72b、74b之间的夹角α2。此外,第二和第三隔断部70b、72b之间的夹角α3大致等于第一和第四隔断部68b、74b之间的夹角α4。当衬底支撑48(图2)的盘体50转动时,盘体50承载的衬底15被相继地被暴露于第一隔室76b中的第一处理材料达第一保留时间、被暴露于第二隔室78b中的清除气体达第二保留时间(其中第二保留时间小于第一保留时间)、被暴露于第三隔室80b中的第二处理材料达第三保留时间(其中第三保留时间小于第一保留时间)、并再次被暴露于第四隔室82b中的清除气体达与第二保留时间相等的保留时间,从而在每个衬底15的顶面上反复形成第一和第二处理材料的层。如果衬底支撑48(图2)的盘体50以恒定的角速度转动,则各个保留时间与角速度相独立。
尽管隔断部68b、70b、72b、74b被设置为使α1约为270°且α2约30°,本领域技术人员应该理解,隔断部68b、70b、72b、74b可以被构造为在四个隔室76b、78b、80b、82b内提供任意期望的保留时间。保留时间例如可以被调整为补偿具有不同反应速率的第一和第二处理材料。例如,暴露于第一隔室76b中的第一处理材料的保留时间显著大于暴露于第二隔室80b中的第二处理材料的保留时间,这将增大对第一处理材料的暴露以补偿较快反应的第二处理材料。
尽管本发明通过对其一个或多个实施例的描述被示出,并且实施例被非常详细地描述,但是它们并不旨在局限或以任何方式将所附权利要求限制为这样的细节。本领域技术人员能容易地想出其它的优势和改进。因此本发明在其更宽的方面不限于所示和所描述的具体细节、代表性的装置和方法以及说明性的示例。相应地,在不背离总体发明概念的范围的基础上,可以与这样的细节有所不同。

Claims (40)

1.一种用于处理多个衬底的沉积系统,所述沉积系统包括:
处理室,其包括第一壁、与所述第一壁间隔的第二壁、以及将所述第一壁和所述第二壁连接的周侧壁,从而界定出处理空间;
衬底支撑,其被设置在所述第一壁和所述第二壁之间并在所述周侧壁的径向内部,所述衬底支撑被构造为使所述衬底绕旋转轴线相对于所述周侧壁转动;
多个隔断部,其每个从所述旋转轴线朝向所述周侧壁径向地延伸,所述隔断部将所述处理空间划分为第一隔室和第二隔室,并且所述第二隔室被构造为包含惰性气氛;以及
第一注入器,其通过所述周侧壁而与所述第一隔室相连通,所述第一注入器被构造为将第一处理材料注入到所述第一隔室中;
其中,所述衬底支撑使每个所述衬底移动,以使每个所述衬底的顶表面相继地暴露于所述第一隔室中的所述第一处理材料和所述第二隔室中的所述惰性气氛。
2.根据权利要求1所述的沉积系统,其中,所述隔断部还将所述处理空间划分为包括第三隔室,所述第三隔室被构造为包含惰性气氛,所述第一隔室被并置于所述第二隔室中的惰性气氛和所述第三隔室中的惰性气氛之间。
3.根据权利要求2所述的沉积系统,其中,所述衬底支撑被构造为绕所述旋转轴线转动,使得每个所述衬底相继地被暴露于所述第二隔室中的惰性气氛、所述第一隔室中的所述第一处理材料以及所述第三隔室中的惰性气氛。
4.根据权利要求1所述的沉积系统,其中,所述隔断部还将所述处理空间划分为包括第三隔室,所述第三隔室被设置为使得所述第二隔室被并置于所述第一隔室和所述第三隔室之间,并且还包括:
第二注入器,其通过所述周侧壁而与所述第三隔室相连通,所述第二注入器被构造为将第二处理材料引入到所述第三隔室中。
5.根据权利要求4所述的沉积系统,其中,所述衬底支撑被构造为绕所述旋转轴线转动,使得每个所述衬底相继地被暴露于所述第一隔室中的所述第一处理材料、所述第二隔室中的惰性气氛以及所述第三隔室中的所述第二处理材料。
6.根据权利要求4所述的沉积系统,其中,所述隔断部在所述旋转轴线处相交,由绕所述旋转轴线分开达第一夹角的相邻部分界定所述第一隔室,并且由绕所述旋转轴线分开达不同于所述第一夹角的第二夹角的相邻部分界定所述第三隔室。
7.根据权利要求4所述的沉积系统,其中,所述隔断部还将所述处理空间划分以限定第四隔室,所述第四隔室被设置为使得所述第二隔室并置于所述第一隔室和所述第三隔室之间,所述第四隔室相对于所述旋转轴线与所述第二隔室径向相对,并且所述第四隔室被构造为包含惰性气氛。
8.根据权利要求1所述的沉积系统,其中,所述衬底支撑包括具有多个凹部的盘状盘体,每个所述凹部被构造为保持至少一个所述衬底。
9.根据权利要求8所述的沉积系统,还包括:
驱动电动机,其位于所述处理室外部;
驱动轴,其机械地连接到所述盘体并沿所述旋转轴线延伸;
旋转穿通部,其延伸通过所述第二壁并将所述驱动轴与所述驱动电动机连接,所述旋转穿通部被构造为将旋转运动从所述驱动电动机传递到所述驱动轴以使所述盘体绕所述旋转轴线转动。
10.根据权利要求9所述的沉积系统,还包括:
控制器,其电连接到所述驱动电动机,所述控制器使所述驱动电动机工作以使所述盘体绕所述旋转轴线转动。
11.根据权利要求8所述的沉积系统,其中,所述凹部以所述旋转轴线为中心绕均匀的半径被分布在所述盘体上,所述凹部中相邻的凹部对具有绕所述旋转轴线大体上等角的周向间隔。
12.根据权利要求1所述的沉积系统,其中,所述周侧壁绕基本上与所述旋转轴线同轴的方位轴周向地延伸。
13.根据权利要求1所述的沉积系统,其中,所述第一注入器被构造为将所述第一处理材料大体上朝向所述旋转轴线注入到所述第一隔室中。
14.根据权利要求1所述的沉积系统,还包括:
第一处理材料源,其通过所述第一注入器连接到所述第一隔室。
15.根据权利要求1所述的沉积系统,其中,所述第一处理材料是包含离子、基团或它们的组合的等离子体产物,并且还包括:
第一等离子体产物源,其通过所述第一注入器连接到所述第一隔室。
16.根据权利要求1所述的沉积系统,其中,所述第一注入器被定位为在所述第一壁和所述衬底支撑之间的位置处注入所述第一处理材料,并且还包括:
真空端口,其通过所述第一壁而与所述第一隔室和所述第二隔室相连通;以及
真空管线,其连接到所述真空端口。
17.根据权利要求16所述的沉积系统,其中,所述隔断部通过所述真空端口突伸到所述真空管线内。
18.根据权利要求1所述的沉积系统,其中,所述第一注入器被定位为在所述第一壁和所述衬底支撑之间的位置处注入所述第一处理材料,并且还包括:
真空端口,其通过所述第二壁而与所述第一隔室和所述第二隔室相连通。
19.根据权利要求1所述的沉积系统,其中,所述第一注入器还包括绕所述周侧壁周向地布置并布置在所述第一壁和所述衬底支撑之间的多个注入端口。
20.根据权利要求1所述的沉积系统,其中,所述第一注入器包括布置在第一平面中的第一多个注入端口,以及布置在第二平面中的第二多个注入端口,所述第一平面位于所述第一壁和所述衬底支撑之间,所述第二平面位于所述第一平面和所述衬底支撑之间。
21.根据权利要求20所述的沉积系统,其中所述隔断部包括协同界定所述第一隔室的第一隔断部和第二隔断部,并且所述第一多个注入端口在在接近所述第一隔断部的位置沿着第一角圆弧布置在所述第一隔室内,并且所述第二多个注入端口在接近所述第二隔断部的位置沿着第二角圆弧布置在所述第一隔室内。
22.根据权利要求20所述的沉积系统,其中,所述第一平面布置在所述衬底支撑上方的第一高度处,并且所述第二平面布置在所述衬底支撑上方的与所述第一高度不同的第二高度处。
23.根据权利要求1所述的沉积系统,其中,所述第一注入器还包括在所述第一壁和所述衬底支撑之间绕所述周侧壁周向地延伸的至少一个细长的注入槽。
24.根据权利要求1所述的沉积系统,其中,所述第一注入器包括绕所述周侧壁周向地延伸并且在位于所述第一壁和所述衬底支撑之间的第一平面中的第一注入槽,以及绕所述周侧壁周向地延伸并且在位于所述第一平面和所述衬底支撑之间的第二平面中的第二注入槽。
25.根据权利要求24所述的沉积系统,其中,所述隔断部包括协同界定所述第一隔室的第一隔断部和第二隔断部,并且所述第一注入槽在接近所述第一隔断部的位置沿着第一角圆弧在所述第一隔室内延伸,并且所述第二注入槽在接近所述第二隔断部的位置沿着第二角圆弧在所述第一隔室内延伸。
26.根据权利要求24所述的沉积系统,其中,所述第一平面布置在所述衬底支撑上方的第一高度处,并且所述第二平面布置在所述衬底支撑上方的与所述第一高度不同的第二高度处。
27.根据权利要求1所述的沉积系统,其中,所述处理室和所述隔断部是静止的。
28.根据权利要求1所述的沉积系统,其中所述隔断部被构造为相对于所述周侧壁移动,使得所述第一隔室与所述第二隔室是连续的。
29.一种用于在多个衬底的每个上沉积层的方法,所述方法包括:
将所述衬底布置在衬底支撑上;
使所述衬底支撑绕旋转轴线转动;
将第一处理材料在相对于所述旋转轴线的径向方向上注入第一隔室中,所述第一隔室由绕所述旋转轴线界定了第一夹角的隔断部限定;
在每次完整的转动期间,将每个所述衬底的顶表面暴露于所述第一隔室中的所述第一处理材料以使所述第一处理材料的分子键合;以及
在每次完整的转动期间,将每个所述衬底暴露于第二隔室中的惰性气氛,所述第二隔室由绕所述旋转轴线界定了第二夹角的隔断部限定。
30.根据权利要求29所述的方法,还包括
将第二处理材料注入第三隔室中,所述第三隔室由绕所述旋转轴线界定了第三夹角的隔断部限定并且经由所述第二隔室而与所述第一隔室分离。
31.根据权利要求30所述的方法,其中,将所述第二处理材料注入的步骤还包括:
在相对于所述旋转轴线的径向方向上注入所述第二处理材料。
32.根据权利要求30所述的方法,还包括:
通过重复转动所述衬底通过所述第一隔室、所述第二隔室和所述第三隔室,使每个所述衬底的所述顶表面再次暴露于所述第一处理材料和所述第二处理材料,以在每个所述衬底上增量地沉积所述层。
33.根据权利要求32所述的方法,其中,使每个所述衬底的所述顶表面再次暴露于所述第一处理材料和所述第二处理材料的步骤还包括:
控制所述衬底支撑的转动,使得当所述第一处理材料的注入开始时和所述第一处理材料的注入中断时,不同的所述衬底被暴露于所述第一处理材料。
34.根据权利要求30所述的方法,还包括:
将每个所述衬底暴露于第四隔室中的惰性气氛,所述第四隔室由绕所述旋转轴线界定了第四夹角的隔断部限定,所述第四夹角与所述第二夹角径向相对并且将所述第一隔室和所述第三隔室分离。
35.根据权利要求34所述的方法,还包括:
通过重复转动所述衬底通过所述第一隔室、所述第二隔室、所述第三隔室和所述第四隔室,使每个所述衬底的所述顶表面再次暴露于所述第一处理材料和所述第二处理材料,以在每个所述衬底上增量地沉积所述层。
36.根据权利要求30所述的方法,还包括:
将每个所述衬底暴露于第三隔室中的惰性气氛,所述第三隔室由绕所述旋转轴线界定了第三夹角的隔断部限定并且经由所述第一隔室而与所述第二隔室分离。
37.根据权利要求29所述的方法,还包括:
加热所述衬底支撑和所述衬底。
38.根据权利要求29所述的方法,其中,所述第一处理材料在所述衬底支撑上方的位置处被注入所述第一隔室,并且还包括:
通过从所述衬底支撑上方的位置处与所述第一隔室相连通的前级管线抽吸所述第一隔室。
39.根据权利要求29所述的方法,其中将所述第一处理材料注入所述第一隔室还包括:
在所述衬底支撑上方的第一高度处第一弧度的一部分上将所述第一处理材料的第一流注入到所述第一隔室内;并且
在所述衬底支撑上方的第二高度处第一弧度的另一部分上将所述第一处理材料的第二流注入到所述第一隔室内。
40.根据权利要求29所述的方法,其中转动所述衬底支撑的步骤还包括:
使所述衬底支撑以恒定的角速度绕所述旋转轴线转动。
CNA2008800048272A 2007-02-12 2008-02-11 原子层沉积系统和方法 Pending CN101611167A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/673,852 2007-02-12
US11/673,852 US8043432B2 (en) 2007-02-12 2007-02-12 Atomic layer deposition systems and methods

Publications (1)

Publication Number Publication Date
CN101611167A true CN101611167A (zh) 2009-12-23

Family

ID=39432907

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2008800048272A Pending CN101611167A (zh) 2007-02-12 2008-02-11 原子层沉积系统和方法

Country Status (6)

Country Link
US (1) US8043432B2 (zh)
JP (1) JP5372779B2 (zh)
KR (1) KR101420465B1 (zh)
CN (1) CN101611167A (zh)
TW (1) TWI438300B (zh)
WO (1) WO2008100846A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102446715A (zh) * 2010-09-30 2012-05-09 硅绝缘体技术有限公司 通过原子层沉积形成半导体材料的系统和方法
CN102477543A (zh) * 2010-11-23 2012-05-30 英作纳米科技(北京)有限公司 旋转式空间隔离化学气相淀积方法及其设备
CN104342637A (zh) * 2013-07-26 2015-02-11 北京北方微电子基地设备工艺研究中心有限责任公司 一种原子层沉积设备
CN104746048A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
CN104746046A (zh) * 2013-12-29 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 原子层沉积设备
CN106555174A (zh) * 2015-09-28 2017-04-05 超科技公司 高产能多腔室原子层沉积系统及方法
CN112176321A (zh) * 2020-10-21 2021-01-05 江苏集萃有机光电技术研究所有限公司 一种原子层沉积装置及原子层沉积方法

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9226407B2 (en) * 2002-07-01 2015-12-29 Semigear Inc Reflow treating unit and substrate treating apparatus
DE102008010041A1 (de) * 2007-09-28 2009-04-02 Osram Opto Semiconductors Gmbh Schichtabscheidevorrichtung und Verfahren zu deren Betrieb
JP5195174B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5276388B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5276386B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラム及びこれを記憶するコンピュータ可読記憶媒体
JP2010073823A (ja) * 2008-09-17 2010-04-02 Tokyo Electron Ltd 成膜装置、成膜方法、及びコンピュータ可読記憶媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
TWI465599B (zh) * 2008-12-29 2014-12-21 K C Tech Co Ltd 原子層沉積裝置
FI123539B (fi) * 2009-02-09 2013-06-28 Beneq Oy ALD-reaktori, menetelmä ALD-reaktorin lataamiseksi ja tuotantolinja
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5490585B2 (ja) * 2009-05-29 2014-05-14 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5444961B2 (ja) * 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5482196B2 (ja) * 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5396264B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
US8034723B2 (en) * 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5423529B2 (ja) 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
FI124414B (fi) 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
JP5625624B2 (ja) 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
FI20105909A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Suutinpää
FI20105902A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
FI124113B (fi) * 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
KR101829669B1 (ko) 2011-01-04 2018-02-19 주식회사 원익아이피에스 박막 증착 방법 및 박막 증착 장치
KR101804127B1 (ko) * 2011-01-28 2018-01-10 주식회사 원익아이피에스 박막 증착 방법
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US20120272892A1 (en) * 2011-04-07 2012-11-01 Veeco Instruments Inc. Metal-Organic Vapor Phase Epitaxy System and Process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6000665B2 (ja) * 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) * 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
US9748125B2 (en) 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
EP2872668B1 (en) * 2012-07-13 2018-09-19 Gallium Enterprises Pty Ltd Apparatus and method for film formation
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5876398B2 (ja) * 2012-10-18 2016-03-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9493874B2 (en) 2012-11-15 2016-11-15 Cypress Semiconductor Corporation Distribution of gas over a semiconductor wafer in batch processing
JP5939147B2 (ja) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014164743A1 (en) 2013-03-11 2014-10-09 Applied Materials, Inc. High temperature process chamber lid
CN107424901B (zh) * 2013-03-12 2019-06-11 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US20150034699A1 (en) * 2013-08-01 2015-02-05 Semigear Inc Reflow treating unit & substrate treating apparatus
US9694436B2 (en) * 2013-11-04 2017-07-04 Veeco Precision Surface Processing Llc System and method for flux coat, reflow and clean
US10607875B2 (en) * 2013-11-11 2020-03-31 Eryn Smith Automated electrostatic bonding/de-bonding apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP2015180768A (ja) * 2014-03-06 2015-10-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びに記録媒体
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102297567B1 (ko) 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR101723546B1 (ko) * 2014-10-20 2017-04-05 주식회사 케이씨텍 박막 형성방법 및 원자층 증착장치
KR102372893B1 (ko) * 2014-12-04 2022-03-10 삼성전자주식회사 발광 소자 제조용 화학 기상 증착 장치
TWI676709B (zh) 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
JP6398761B2 (ja) * 2015-02-04 2018-10-03 東京エレクトロン株式会社 基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US20170029948A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102469407B1 (ko) 2016-02-12 2022-11-21 도쿄엘렉트론가부시키가이샤 일괄 처리 시스템에서의 다중막 퇴적 및 에칭을 위한 방법 및 장치
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI729101B (zh) 2016-04-02 2021-06-01 美商應用材料股份有限公司 用於旋轉料架基座中的晶圓旋轉的設備及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11015246B2 (en) 2016-04-24 2021-05-25 Applied Materials, Inc. Apparatus and methods for depositing ALD films with enhanced chemical exchange
TWI734770B (zh) 2016-04-24 2021-08-01 美商應用材料股份有限公司 用於防止空間ald處理腔室中之背側沉積的設備
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US20210087687A1 (en) * 2017-04-10 2021-03-25 Picosun Oy Uniform deposition
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US12006570B2 (en) 2017-08-31 2024-06-11 Uchicago Argonne, Llc Atomic layer deposition for continuous, high-speed thin films
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11152268B2 (en) 2018-03-20 2021-10-19 Tokyo Electron Limited Platform and method of operating for integrated end-to-end area-selective deposition process
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7249744B2 (ja) * 2018-08-02 2023-03-31 東京エレクトロン株式会社 成膜装置及び成膜方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20200056273A (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
WO2020101375A1 (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129578B (en) 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114790543A (zh) * 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 用于沉积层的方法和系统
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11961716B2 (en) * 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3696779A (en) * 1969-12-29 1972-10-10 Kokusai Electric Co Ltd Vapor growth device
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5366554A (en) * 1986-01-14 1994-11-22 Canon Kabushiki Kaisha Device for forming a deposited film
DE3751755T2 (de) * 1986-06-30 1997-04-03 Nihon Sinku Gijutsu K K Verfahren und Vorrichtung zum Abscheiden aus der Gasphase
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
DE10141084A1 (de) * 2001-08-22 2002-11-28 Infineon Technologies Ag Vorrichtung zur Abscheidung von dünnen Schichten auf einem Stubstrat und entsprechendes Verfahren
WO2003038145A2 (en) * 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
KR100452525B1 (ko) * 2001-12-26 2004-10-12 주성엔지니어링(주) Ald 공정에 적합한 가스 인젝터
JP3670628B2 (ja) * 2002-06-20 2005-07-13 株式会社東芝 成膜方法、成膜装置、および半導体装置の製造方法
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
JP4879509B2 (ja) * 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
JP2006028577A (ja) * 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
KR100558922B1 (ko) 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102446715A (zh) * 2010-09-30 2012-05-09 硅绝缘体技术有限公司 通过原子层沉积形成半导体材料的系统和方法
CN102446715B (zh) * 2010-09-30 2015-10-14 硅绝缘体技术有限公司 通过原子层沉积形成半导体材料的系统和方法
CN102477543A (zh) * 2010-11-23 2012-05-30 英作纳米科技(北京)有限公司 旋转式空间隔离化学气相淀积方法及其设备
CN104342637A (zh) * 2013-07-26 2015-02-11 北京北方微电子基地设备工艺研究中心有限责任公司 一种原子层沉积设备
CN104746046A (zh) * 2013-12-29 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 原子层沉积设备
CN104746048A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
CN106555174A (zh) * 2015-09-28 2017-04-05 超科技公司 高产能多腔室原子层沉积系统及方法
CN112176321A (zh) * 2020-10-21 2021-01-05 江苏集萃有机光电技术研究所有限公司 一种原子层沉积装置及原子层沉积方法

Also Published As

Publication number Publication date
WO2008100846A2 (en) 2008-08-21
WO2008100846A3 (en) 2008-11-06
JP5372779B2 (ja) 2013-12-18
KR101420465B1 (ko) 2014-07-16
US8043432B2 (en) 2011-10-25
TWI438300B (zh) 2014-05-21
JP2010518259A (ja) 2010-05-27
KR20090118951A (ko) 2009-11-18
TW200900527A (en) 2009-01-01
US20080193643A1 (en) 2008-08-14

Similar Documents

Publication Publication Date Title
CN101611167A (zh) 原子层沉积系统和方法
KR101569944B1 (ko) 성막 장치
CN101994101B (zh) 成膜装置
CN102804346B (zh) 成膜装置、成膜方法、转速的优化方法及气体供给期间的优化方法
US9677174B2 (en) Film deposition method for producing a reaction product on a substrate
KR101584817B1 (ko) 성막 장치
US10590534B2 (en) Film deposition method and film deposition apparatus
JP5276387B2 (ja) 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
CN206516610U (zh) 基板处理腔室
EP1887104A2 (en) Radical assisted batch film deposition
US20100227059A1 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
CN102134709A (zh) 成膜装置
JP2008509547A (ja) 高いスループットのcvd装置及び方法
CN102732854A (zh) 成膜装置和成膜方法
JP2010073823A (ja) 成膜装置、成膜方法、及びコンピュータ可読記憶媒体
CN105938796A (zh) 基板处理装置以及基板处理方法
WO2016034693A1 (en) Methods and systems for growing binary, ternary and quaternary materials on a substrate
KR102115069B1 (ko) 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
JPH0786173A (ja) 成膜方法
US11186911B2 (en) Microwave plasma and ultraviolet assisted deposition apparatus and method for material deposition using the same
KR101393463B1 (ko) 박막 증착장치
CN113174588A (zh) 一种原子层沉积系统及沉积方法
US11538678B2 (en) Deposition method
WO2022064606A1 (ja) 半導体装置の製造方法及び基板処理装置並びにプログラム
KR101372309B1 (ko) 롤투롤 방식의 원자층 증착 장비 및 원자층 증착 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20091223