KR101420465B1 - 증착 시스템 - Google Patents

증착 시스템 Download PDF

Info

Publication number
KR101420465B1
KR101420465B1 KR1020097018432A KR20097018432A KR101420465B1 KR 101420465 B1 KR101420465 B1 KR 101420465B1 KR 1020097018432 A KR1020097018432 A KR 1020097018432A KR 20097018432 A KR20097018432 A KR 20097018432A KR 101420465 B1 KR101420465 B1 KR 101420465B1
Authority
KR
South Korea
Prior art keywords
compartment
substrate
wall
axis
process material
Prior art date
Application number
KR1020097018432A
Other languages
English (en)
Other versions
KR20090118951A (ko
Inventor
안소니 딥
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090118951A publication Critical patent/KR20090118951A/ko
Application granted granted Critical
Publication of KR101420465B1 publication Critical patent/KR101420465B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

본 발명은, 원자층 증착(ALD; Atomic Layer Deposition)을 이용하여 박막을 증착하는 시스템 및 방법을 개시한다. 증착 시스템(10)은 둘레 측벽(36)을 갖는 공정 챔버(16), 공정 챔버(16) 내의 처리 공간(38)을 적어도 2개의 격실(76, 78)로 분할하는 격벽(68, 70, 72, 74) 및 처리 공간(38) 내에 기판(15)을 지지하는 플라터(50)를 포함한다. 플라터(50)는 고정된 둘레 측벽(36) 및 격실(76, 78)에 대하여 기판(15)을 상대 회전시킨다. 하나의 격실(76)은 각 기판(15)에 층을 증착하는데 사용되는 공정 재료를 수용하고, 다른 격실(78)은 불활성 가스를 수용한다. 공정 재료를 주입하는 재료 인젝터(100, 100a, 100b)가 둘레 측벽(36)을 통하여 격실(76)과 연통한다.

Description

증착 시스템{DEPOSITION SYSTEM}
본 발명은 원자층 증착(ALD)을 이용하여 박막을 증착하기 위한 시스템 및 방법에 관한 것이다.
미세 전자부품에 대한 치수가 감소하고 그러한 재료에 대한 수요가 증가함에 따라, 보다 넓은 기판 면적에 걸쳐서 균일한 조성 및 균일한 두께를 갖는 반도체 박막이 점점 요구되고 있다. 기판 상에 박막을 증착하기 위해서 공통적으로 사용되는 공정으로는, 복잡한 장치 형상에 걸쳐 비교적 균일한 막을 증착하는 화학 기상 증착(CVD)이 있다. 통상의 CVD 공정에서, 기판은 2 이상의 휘발성 전구체에 노출되고, 이 전구체는 원하는 박막을 형성하도록 기판 표면에서 반응하거나 및/또는 분해된다.
이전의 증착 기술에 비한 CVD의 향상에도 불구하고, CVD는 여러 가지 단점을 갖는다. 예컨대, CVD는 플럭스에 의존하기 때문에, 균일한 두께의 원하는 박막을 형성하기 위해서는 기판 온도, 압력 및 가스 유량과 같은 증착 조건을 정확하고 지속적으로 유지해야 한다. 또한, CVD는 원치 않는 반응 부산물이 증착 박막에 혼입되어, 박막의 순도를 저하시키는 경향이 있다.
CVD의 변형인 원자층 증착(ALD)은, 상당히 균일한 등각(conformal) 막 증착을 달성하는 가능성 있는 우수한 방법으로서 이제 알려지고 있는 현대의 박막 증착 기술이다. ALD는, 종래의 CVD 공정을 별개의 증착 단계로 분할하여, 각 증착 단계에서 단일 원자의 단층을 순차적으로 증착함으로써 박막을 구성하도록 하는 공정이다. ALD의 기술은, 화학 증착에 의해 반응성 전구체 분자의 포화 단층을 형성하는 원리를 기초로 한다. 통상의 ALD 공정은, 기판 상에 포화 단층이 형성될 때까지 정해진 기간 동안 제1 전구체를 주입하는 것으로 이루어진다. 그 후, 제1 전구체를 불활성 가스를 이용하여 챔버로부터 퍼지한다. 이어서, 역시 정해진 기간 동안 챔버 내로 제2 전구체를 주입하여, 제2 전구체와 제1 전구체의 반응으로부터 웨이퍼 상에 층을 형성한다. 그 후, 챔버로부터 제2 전구체를 퍼지한다. 제1 전구체를 도입하고, 공정 챔버를 퍼지하며, 제2 전구체를 도입하고, 공정 챔버를 퍼지하는 이러한 공정을 복수회 반복하여 정해진 두께의 층을 얻는다.
반응성 가스 전구체를 공정 챔버 내로 수평으로 주입한 상태로 단일 웨이퍼 반응기를 이용하여 ALD 박막을 적층할 수도 있다. 수평 방향의 가스 전구체 주입에 의해, 가스 전구체가 정지 기판의 표면에 평행한 방향으로 유도된다. 반응성 가스 전구체가 기판 표면에 평행한 방향으로 흐르도록 되어 있는 배치가 유리한데, 그 이유는, 이와 같은 배치가 가스 전구체를 기판 표면에 수직한 방향으로 수직하게 주입함으로써 증착된 것보다 균일한 박막이 얻어지기 때문이다. 그럼에도 불구하고, 단일 웨이퍼 반응기의 주요 단점은, 처리량이 비교적생산성이 비교적 낮기 때문에 상업적 가치가 현저하게 감소한다는 점이다. 다른 단점은, 개별 가스 전구체를 각각 도입하기 전에 공정 챔버를 퍼지해야 한다는 점이다.
단일 웨이퍼 반응기와 관련한 상업적 문제를 적어도 부분적으로 극복하기 위하여, ALD 공정에 대하여 공정 챔버가 복수의 공정 격실로 구획되어 있는 멀티 웨이퍼 반응기를 이용할 수도 있다. 기판을 공정 격실에 대하여 상대 회전시켜, 각 기판을 하나의 격실로부터 다른 격실로 순차적으로 전달한다. 개별 공정 격실 각각에서, 각 기판은 전구체 가스 또는 불활성 가스에 노출된다. 회전 기판은 상이한 전구체 가스 및 불활성 가스에 순차적으로 노출된다. 이들 멀티 웨이퍼 반응기의 하나의 특징적인 단점은, 멀티 웨이퍼 반응기가, 기판 표면에 대략 수직인 축방향 대칭 방향으로 전구체 가스를 주입하는 샤워헤드 인젝터를 채용하고 있다는 점에 있다. 그 결과, 보다 빠른 공정 시간을 얻을 수 있었지만, 멀티 웨이퍼 ALD 공정 챔버는 두께 균일성이 감소된 박막을 생산할 수도 있다.
따라서 반응성 가스 전구체를 기판의 상면에 평행한 방향으로 각 공정 격실 내로 주입하는 멀티 웨이퍼 공정 챔버가 요구되고 있다.
본 발명의 일 실시예는 복수의 기판을 처리하기 위한 증착 시스템을 제공한다. 증착 시스템은, 제1벽, 제1 벽으로부터 간격을 두고 있는 제2벽, 그리고 제1벽과 제2벽을 연결하여 처리 공간을 구획하는 둘레 측벽을 갖는 공정 챔버를 포함한다. 제1벽과 제2벽 사이에서 둘레 측벽의 반경 방향으로 내측에 기판을 지지하도록 기판 지지부가 구성된다. 기판 지지부는, 기판을 회전축선을 중심으로 하는 폐경로로 둘레 측벽에 대하여 상대 회전시키도록 구성된다. 복수의 격벽이 둘레 측벽을 향하여 회전축선에 대하여 연장된다. 격벽은 처리 공간을 제1 격실과, 불활성 분위기를 수용하는 제2 격실을 포함한 복수의 격실로 분할한다. 제1 재료 인젝터는, 둘레 측벽을 통하여 제1 격실과 연통하고, 제1 격실 내로 제1 공정 재료를 주입하도록 구성되어 있다. 회전축선을 중심으로 하는 각 전회전 도중에, 각 기판의 상면은 제1 격실 내의 제1 공정 재료와 제2 격실 내의 불활성 분위기에 순차적으로 노출된다.
본 발명의 다른 실시예는, 복수의 기판 각각에 층을 증착하기 위한 방법을 제공한다. 본 발명의 방법은, 회전축선을 중심으로 원주 방향으로 간격을 두고 있는 관계로 기판을 배치하는 단계와, 회전축선을 중심으로 하는 폐경로로 기판을 회전시키는 단계를 포함한다. 이 방법은, 제1 공정 재료를 회전축선에 대하여 반경 방향으로, 회전축선을 중심으로 제1 끼인각을 이루는 격벽들에 의해 구획된 제1 격실 내로 주입하는 단계를 더 포함한다. 각각의 전회전의 도중에, 각 기판의 상면을 제1 격실 내의 제1 공정 재료에 노출시켜 제1 공정 재료의 분자를 결합시키고, 또한 회전축선을 중심으로 제2 끼인각을 이루는 격벽들에 의해 구획된 제2 격실 내의 불활성 분위기에 노출시킨다.
본 명세서에 합체되어 본 명세서의 일부를 구성하는 첨부 도면은, 전술한 발명의 개략적 설명 및 이하에 나타내는 상세한 설명과 함께 발명의 예시적인 실시예를 나타내어, 발명을 설명하고 있다
도 1은 본 발명의 실시예에 따른 공정 챔버를 포함하는 증착 시스템의 모식적인 상부 평면도이고,
도 2는 명확성을 위하여 상면을 생략한 도 1의 공정 챔버의 사시도이고,
도 2a는 도 2의 공정 챔버의 평면도이고,
도 3a는 일반적으로 도 2의 선 3A-3A를 따라 취한 개략적인 단면도이고,
도 3b는 일반적으로 도 2의 선 3B-3B를 따라 취한 개략적인 단면도이고,
도 4는 본 발명의 변형예에 따른 공정 챔버의 도 2와 유사한 사시도이고,
도 5는 본 발명의 변형예에 따른 공정 챔버의 도 3a와 유사한 개략적인 단면도이고,
도 6은 본 발명의 다른 변형예에 따른 공정 챔버의 도 2와 유사한 사시도이고,
도 7 내지 도 9는 본 발명의 실시예에 따른 도 1, 도 2, 도 2a, 도 3a 및 도 3b의 공정 챔버 내측의 처리 공간을 구획하기 위한 격벽의 배치를 보여주는 개략도이다.
도 1을 참조하면, 복수의 기판(15)을 처리하기 위한 증착 시스템(10)은 입/출력 스테이션(12)과, 로드/록(load/lock) 스테이션(14)과, 공정 챔버(16), 그리고 로드/록 스테이션(14)과 공정 챔버(16) 사이에 개재된 전달 챔버(18)를 구비한다. 간략하게 도시되어 있는 증착 시스템(10)은, 당업자라면 이해할 수 있듯이, 로드/록 스테이션(14)과 전달 챔버(18), 그리고 공정 챔버(16)와 전달 챔버(18)를 결합하는 추가의 진공 고립 벽과 같은 추가의 구조물을 포함할 수도 있다. 대기압(예컨대 760 Torr) 또는 그 근처의 압력으로 있는 입/출력 스테이션(12)은 FOUPs(front opening unified pods)와 같은 웨이퍼 카세트(20)를 수용하도록 되어 있다. 웨이퍼 카세트(20)는, 예컨대 직경 200 mm 또는 300 mm의 반도체 웨이퍼와 같은 복수의 기판(15)을 유지하도록 크기 및 형상이 결정된다.
로드/록 스테이션(14)은 대기압으로부터 진공압으로 진공 배기되고 진공압으로부터 대기압으로 진공 해제되도록 되어 있으며, 공정 챔버(16)와 전달 챔버(18)는 진공압 하에서 연속적으로 격리 및 유지되어 있다. 로드/록 스테이션(14)은 입/출력 스테이션(12)의 대기압 환경으로부터 도입되는 복수의 웨이퍼 카세트(20)를 유지한다. 로드/록 스테이션(14)은, 하나의 웨이퍼 카세트(20)를 각각 지지하고 공정 챔버(16)의 내외로의 웨이퍼 전달을 촉진하도록 수직 방향으로 연동될 수 있는 플랫폼(21, 23)을 구비한다.
웨이퍼 전달 기구(22)는 기판(15)을 진공 상태로, 로드/록 스테이션(14) 내의 웨이퍼 카세트(20) 중 하나로부터 전달 챔버(18)를 통하여 공정 챔버(16)로 전달한다. 다른 웨이퍼 전달 기구(24)는 공정 챔버(16)에서 처리된 기판(15)을 진공 상태로 공정 챔버(16)로부터 전달 챔버(18)를 통하여 웨이퍼 카세트(20)에 전달한다. 증착 시스템(10)의 처리량을 증가시키도록 서로 독립적으로 작동하는 웨이퍼 전달 기구(22, 24)는 픽 앤드 플레이스 동작에 공통적으로 사용되는 SCARA(selective compliant articulated/assembly robot arm) 로봇일 수도 있다. 웨이퍼 전달 기구(22, 24)는 전달 중에 기판(15)을 고정하도록 구성된 엔드 이펙터(end effector)를 포함한다. 공정 챔버(16)는, 공정 챔버(16) 내측의 처리 공간(38; 도 3a 및 도 3b)에 액세스하도록 각각 웨이퍼 전달 기구(22, 24)에 의해 사 용되는 별도의 제1 및 제2 밀봉가능부(도시 생략)를 포함할 수도 있다. 공정 챔버(16) 내에서 증착 공정이 일어나고 있을 때에 액세스 포트는 밀봉되어 있다. 도 1에는, 웨이퍼 전달 기구(22, 24)가 미처리 기판(15)을 로드/록 스테이션(14)의 플랫폼(21) 상의 웨이퍼 카세트(20)로부터 공정 챔버(16)에 전달하는 것으로 도시되어 있다. 도 1에는, 웨이퍼 전달 기구(24)가 처리된 기판(15)을 공정 챔버(16)로부터 로드/록 스테이션(14)의 플랫폼(23) 상의 웨이퍼 카세트(20)에 전달하는 것으로 도시되어 있다.
웨이퍼 전달 기구(24)는 공정 챔버(16)로부터 추출된 처리 기판(15)을 검사를 위한 계측 스테이션(26)에, 또는 기판(15)의 처리후의 저압 냉각에 사용되는 냉각 스테이션(28)에 전달할 수도 있다. 계측 스테이션(26)에서 실행되는 공정은, 엘립소메트리(ellipsometry)와 같이 막 두께 및/또는 막 조성을 측정하는데 사용되는 종래의 기술과, 오염 제어를 위한 입자 측정 기술을 포함할 수도 있지만, 이들로 한정되는 것은 아니다.
증착 시스템(10)은 증착 시스템(10)의 동작을 제어 및 조정하도록 프로그램된 시스템 컨트롤러(30)를 구비하고 있다. 시스템 컨트롤러(30)는 통상적으로, 다양한 시스템 기능, 챔버 공정 및 지원 하드웨어(예컨대, 검출기, 로봇, 모터, 가스 공급원 하드웨어 등)를 제어하고, 시스템 및 챔버 공정(예컨대, 챔버 온도, 공정 시퀀스 처리량, 챔버 공정 시간, 입/출력 신호 등)을 모니터링하는 중앙 처리 유닛(CPU)을 포함한다. 소프트웨어 명령 및 데이터는 코딩되어 CPU에 지시를 행하도록 메모리 내에 저장될 수 있다. 시스템 컨트롤러(30)에 의해 실행될 수 있는 소 프트웨어 프로그램은, 처리 시퀀스 태스크의 모니터링 및 실행에 관련한 태스크와 각종 챔버 공정 레시피 단계를 포함하여 기판(15)에 어떠한 태스크를 실행할 것인지 결정한다.
계속해서 도 2, 도 2a, 도 3a 및 도 3b를 참조하면, 공정 챔버(16)는 제1벽(32), 제2벽(34), 제1벽(32)과 제2벽(34) 사이에서 연장되고 이들 벽을 연결하여 처리 공간(38)의 경계를 구획하는 둘레 측벽(36)을 구비한다. 제2벽(34)은 둘레 측벽(36)의 높이 또는 수직 방향 치수(d)만큼 제1 측벽(32)으로부터 떨어져 있으며, 제1벽(32)에 대하여 대략 평행하게 정향되어 있다. 둘레 측벽(36)은 대칭의 방위축(azimuthal axis)(40)을 중심으로 원주 방향으로 연장된다. 둘레 측벽(36)의 직경은 수직 방향 치수(d)보다 현저하게 커서, 공정 챔버(16)는 평평한 "팬케이크(fancake)" 기하형상을 갖는다.
수직으로 구부러진 덕트 또는 관형 도관의 형태를 갖는 포어 라인(fore line; 42)이 공정 챔버(16)의 제1벽(32)을 통하여 연장되는 포트(44)와 결합되어 있다. 포어 라인(42)은 처리 공간(38)을 진공 시스템(46)과 유체 연통하게 결합하고, 이 진공 시스템은 처리 공간(38)과 같은 밀봉 용적으로부터 가스를 배기하는데 사용되는 타입의 종래의 진공 펌프로 구성된다. 포어 라인(42)이 수직 만곡부를 갖도록 만곡되어 있는 것으로 도시되어 있지만, 당업자는, 포어 라인(42)이 임의의 정해진 각도로 만곡될 수도 있고 굽힘이 전혀 없는 직선일 수도 있다는 것을 이해할 것이다. 굽힘이 전혀 없는 구조가 펌핑 수행에 최적인 것으로 생각된다.
기판 지지부(48)의 형태를 갖는 서셉터가 공정 챔버(16)의 내측에 배치되어 있다. 기판 지지부(48)는 디스크 형상의 플라터(50)와, 플라터(50)의 상면(49)에 마련되어 있는 복수의 원형 포킷 또는 리세스(52)를 구비한다. 플라터(50)의 상면(49)은 리세스(52)가 제1벽(32)을 향해 개방되도록 제1벽(32)을 향하고 있다.
각각의 리세스(52)는 공정 챔버(16)의 둘레 측벽(36) 내의 반경 방향의 위치에 기판(15) 중 적어도 하나를 유지하도록 구성된다. 개별 리세스(52)의 수는 예컨대 2개 내지 7개일 수도 있다. 그러나 당업자는, 기판(15)의 치수 및 기판 지지부(48)의 치수에 따라 임의의 정해진 수의 리세스(52)를 구비하도록 기판 지지부(48)를 구성할 수도 있다는 것을 이해할 것이다. 예컨대, 둥근 기판(15)을 수용하는 형상의 3개 내지 6개의 리세스(52)를 갖도록 플라터(50)를 구성함으로써, 상면(49)의 표면적의 가용 비율을 최적화할 수도 있다. 또한, 본 발명의 이러한 실시예에서는 리세스(52)가 원형 또는 둥근 기하형상을 갖는 것으로 도시되어 있지만, 당업자는, 적절한 형상의 기판을 수용하도록 리세스(52)를 임의의 형상으로 구성할 수도 있다는 것을 이해할 것이다.
증착 시스템(10)은 200 mm 기판, 300 mm 기판, 또는 보다 대형의 둥근 기판을 처리하도록 구성될 수도 있으며, 이러한 치수는 리세스(52)의 치수에 반영될 것이다. 실제로, 증착 시스템(10)은, 당업자라면 이해할 수 있듯이, 사이즈에 관계없이, 기판, 웨이퍼, 또는 액정 디스플레이를 처리하도록 구성될 수도 있다. 따라서 반도체 기판인 기판(15)의 처리와 관련하여 본 발명의 양태를 설명하고 있지만, 본 발명은 이것으로 한정되지 않는다.
리세스(52)는 회전축선(54)을 중심으로 하는 균일한 반경의 둘레에서 플라터(50) 상에 원주 방향으로 분산되어 있다. 리세스(52)는, 방위축(40)과 실질적으로 동일 직선상에 있거나 동축으로 있는 회전축선(54)을 중심으로 대략 등각으로 간격을 두고 배치되어 있지만, 본 발명이 이로 한정되는 것은 아니다. 도 2a에 가장 잘 도시된 바와 같이, 인접 리세스(52)는 (s-2wr)과 동일한 간극 거리만큼 분리될 수도 있으며, 여기서 s는 인접 리세스(52)의 중심 사이의 거리이고, wr은 인접 리세스(52)의 반경이다. 처리 공간(38)의 분위기를 진공 배기시키는 포어 라인(42)이 회전축선(54)을 따라 플라터(50) 위에 배치되어 있다.
도 2, 도 2a, 도 3a 및 도 3b를 계속해서 참조하면, 기판 지지부(48)의 플라터(50)는, 구동 샤프트(58)에 의해, 공정 챔버(16)의 외측에 배치되는 구동 모터(56)와 결합되어 있다. 회전축선(54)을 따라 연장되는 구동 샤프트(58)는 제2벽(34)의 관형 연장부(35)에 배치되어 있다. 로터리 진공 피드스루(60; rotary vacuum feedthrough)는, 구동 샤프트(58)를 구동 모터(56)와 결합한다. 로터리 진공 피드스루(60)는, 구동 모터(56)로부터의 회전 운동을 제2벽(34)을 통하여 구동 샤프트(58)에 전달하여 플라터(50)를 회전축선(54)을 중심으로 회전시키도록 구성된다.
구동 모터(56)에 전기적으로 결합된 컨트롤러(62)가 구동 모터(56)를 동작시켜, 플라터(50) 및 구동 샤프트(58)를 회전축선(54)을 중심으로 회전시킨다. 기판(15)을 공정 챔버(16) 내에서 처리할 때에, 플라터(50)의 회전은 연속적일 수 있으며, 회전축선(54)을 중심으로 일정한 각속도로 일어날 수도 있다. 대안으로, 각 속도는 임의의 기준점에 대한 플라터(50)의 각도 방위에 의존하여 변경될 수도 있다.
플라터(50)와 제2벽(34) 사이에 있는 처리 공간(38)의 부분은 받침대(64)와 히터(66)를 구비한다. 히터(66)는, 받침대(64)의 상면(65)과 플라터(50)의 바닥면(51) 사이의 중간 위치에 있도록 받침대(64)에 결합되어 있다. 전원 공급 시에, 히터(66)는 열에너지를 플라터(50)에 전달하여 복수의 리세스(52) 내에 유지된 기판(15)을 정해진 처리 온도로 가열한다.
격벽(68, 70, 72, 74)은 공정 챔버(16)의 처리 공간(38)을 복수의 격실(76, 78, 80, 82)로 구획한다. 격벽(68, 70, 72, 74)은 회전축선(54)에 대하여 반경 방향으로 둘레 측벽(36)을 향하여 연장된다. 격벽(68, 70, 72, 74)은 플라터(50)의 상면(49)으로부터 제1벽(32)을 향하여 상향 돌출된다. 각 격벽(68, 70, 72, 74)은, 플라터(50)의 상면(49)과 제1벽(32)의 마주보는 면 사이의 수직 방향 치수(d2)와 대략 동일한 높이 또는 수직 방향 치수(d1)를 갖는다. 격벽(68)은 둘레 측벽(36)에 인접한 제1 단부(68a)와 회전축선(54)에 인접한 제2 단부(68b) 사이에서 연장된다. 각각의 격벽(70, 72, 74)은, 유사한 제1 단부(70a, 72a, 74a) 및 제2 단부(70b, 72b, 74b)를 갖는다.
격벽(68, 70, 72, 74)의 각 단부(68b, 70b, 72b, 74b)는 회전축선(54)에서 포어 라인(42)의 내측에서 교차하며, 이에 의해 4개의 격실(76, 78, 80, 82) 사이의 가스 교환을 더욱 줄일 수 있다. 교차하는 단부(68b, 70b, 72b, 74b)는, 포어 라인(42) 내측에서의 격벽(68, 70, 72, 74)의 수직 방향 치수(d3)가 포어 라인(42)의 외측에서의 수직 방향 치수(d1)보다 크도록 상향 돌출된다. 그러나 본 발명은, 격벽(68, 70, 72, 74)이 그 전체 길이를 따라 균일한 수직 방향 치수(d1)를 가질 수도 있으므로, 전술한 것으로 한정되지 않는다.
격실(76)은 제1 격벽(68), 제2 격벽(70), 제1벽(32), 제2벽(34) 및 둘레 측벽(36) 사이에서 형성되어 있는 처리 공간(38)의 부분으로서 구획되어 있다. 격실(78)은 제2 격벽(70), 제3 격벽(72), 제1벽(32), 제2벽(34) 및 둘레 측벽(36) 사이에서 형성되어 있는 처리 공간(38)의 부분으로서 구획되어 있다. 격실(80)은 제3 격벽(72), 제4 격벽(74), 제1벽(32), 제2벽(34) 및 둘레 측벽(36) 사이에서 형성되어 있는 처리 공간(38)의 부분으로서 구획되어 있다. 격실(82)은 제1 격벽(68), 제4 격벽(74), 제1벽(32), 제2벽(34) 및 둘레 측벽(36) 사이에서 형성되어 있는 처리 공간(38)의 부분으로서 구획되어 있다. 4개의 격벽(68, 70, 72, 74)을 대표적으로 도시하고 있지만, 당업자는, 공정 챔버(16)를 임의의 적절한 복수의 격벽에 의해 세분하여 4개 초과 또는 4개 미만의 격실을 형성할 수도 있다는 것을 이해할 것이다.
계속해서 도 2, 도 2a, 도 3a 및 도 3b, 특히 도 2a를 참조하면, 증착 시스템(10)은, 각각의 가스 라인(85, 87)에 의해 둘레 측벽(36)을 관통하는 유입 포트(86, 88)와 결합된 퍼지 가스 공급 시스템(84)을 더 포함한다. 유입 포트(86)와 가스 라인(85)은 격실(78)과 퍼지 가스 공급 시스템(84)을 결합한다. 유입 포트(88)와 가스 라인(87)은 격실(82)과 퍼지 가스 공급 시스템(84)을 결합한다. 퍼지 가스 공급 시스템(84)은 격실(78, 82)에 퍼지 가스의 흐름을 도입하도록 구성되어 있다. 격실(78, 82) 내로 도입된 퍼지 가스는 희가스(즉, 헬륨, 네온, 아르곤, 크세논, 크립톤), 질소 또는 수소와 같은 불활성 가스를 포함할 수 있다. 기판 처리 중에, 퍼지 가스를 격실(78, 82) 내에 연속적으로 도입하여 격실(76, 80) 사이에서 제1 및 제2 공정 재료의 전달을 방지하거나, 최소한 현저하게 제한하는 가스상 커튼 또는 배리어(barrier)를 제공한다. 퍼지 가스는 또한 격실(78, 82) 내측에 불활성 분위기를 제공하여, 기판(15)에 의해 운반된 임의의 공정 재료층이 격실(78, 82)을 통하여 플라터(50) 상에서 운반될 때에 실질적으로 변화하지 않는다. 격실(76)은 격실(78, 82) 사이에서 병렬 배치되고, 격실(80)은 격실(78, 82) 사이에서 병렬 배치되어, 격실(78, 82)은 격실(76, 80)을 분리하여 제1 및 제2 공정 재료를 상호 격리시킨다.
증착 시스템(10)은, 제1 공정 재료를 격실(76)에 도입하도록 구성된 제1 공정 재료 공급 시스템(90)과 제2 공정 재료를 격실(80)에 도입하도록 구성된 제2 공정 재료 공급 시스템(92)을 더 포함한다. 제1 및 제2 공정 재료 공급 시스템(90, 92)은 각각, 그러한 공정 재료 공급 시스템에서 통상적으로 확인할 수 있는 하나 이상의 재료 공급원, 하나 이상의 히터, 하나 이상의 압력 제어 장치, 하나 이상의 유량 제어 장치, 하나 이상의 필터, 하나 이상의 밸브, 또는 하나 이상의 유량 센서를 포함할 수도 있다.
제1 공정 재료는 예컨대, 각 기판(15)에 형성된 막에서 확인되는 주원자종 또는 주분자종을 갖는 조성물과 같은 전구체를 포함할 수 있다. 예컨대, 전구체는 고상, 액상, 또는 기상으로서 시작할 수 있으며, 캐리어 가스의 지원을 받거나 지원을 받지 않으면서 기상 또는 증기상으로 격실(76)에 운반될 수도 있다. 제2 공정 재료는 예컨대, 기판(15)에 형성된 박막에서 확인되는 원자종 또는 분자종을 또한 포함할 수 있는 반응물을 포함할 수 있다. 예컨대, 반응물은 고상, 액상, 또는 기상으로서 시작할 수 있으며, 캐리어 가스의 지원을 받거나 지원을 받지 않으면서 기상 또는 증기상으로 격실(80)에 운반될 수도 있다.
제1 공정 재료 공급 시스템(90)에 의해 공정 챔버(16)에 공급된 제1 공정 재료와 제2 공정 재료 공급 시스템(92)에 의해 공정 챔버(16)에 공급된 제2 공정 재료는 기판 상에 박막으로서 적층되는 재료의 조성 및 특성에 따라 선택된다. 예컨대, 제1 공정 재료는 트리메틸알루미늄(TMA)와 같은 금속 유기 전구체를 포함할 수 있고, 제2 공정 재료는 질소(N2) 또는 산소(O2)를 포함할 수 있다. 다른 예로서, 제1 공정 재료는 탄탈 펜타클로라이드(TaCl5)와 같은 전구체를 포함할 수 있고, 제2 공정 재료는 수소(H2) 가스와 같은 환원제를 포함할 수 있다. 또한, 제1 및 제2 공정 재료의 온도 및 압력도 박막 성장을 촉진하도록 선택된다.
제1 세트의 주입 포트(102)와 제2 세트의 주입 포트(104)가 둘레 측벽(36)을 통해 연장하여 격실(76)과 연통한다. 집합적으로 재료 인젝터(100)를 형성하는 주입 포트(102, 104)는 제1 매니폴드(94)에 의해 제1 공정 재료 공급 시스템(90)과 결합된다. 주입 포트(102, 104)는 제1 공정 재료를 격실(76) 내로 주입한다. 제3 세트의 주입 포트(108)와 제4 세트의 주입 포트(110)가 둘레 측벽(36)을 통해 연장하여 격실(80)과 연통한다. 집합적으로 재료 인젝터(106)를 형성하는 주입 포트(108, 110)는 제2 매니폴드(96)에 의해 제2 공정 재료 공급 시스템(92)과 결합된다. 주입 포트(108, 110)는 제2 공정 재료를 격실(80) 내로 주입한다.
재료 인젝터(100)의 주입 포트(102)는 제1 격벽(68) 근처에 위치되어 있다. 주입 포트(102)는 제1 평면(114; 도 3a)에서 둘레 측벽(36)의 둘레에서 연장되는 제1 원호(angular arc; 112)를 따라 정렬되어 있다. 제1 평면(114)은, 플라터(50)의 상면(49) 위에 정해진 높이(h1)로, 제2 세트의 주입 포트(104) 위에 위치되어 있다. 제1 평면(114)은 상면(49)을 포함하는 평면에 실질적으로 평행하다. 재료 인젝터(100)의 주입 포트(104)는 제2 격벽(70)에 근접하게 위치되어 있다. 주입 포트(104)는 제2 평면(118)에서 둘레 측벽(36)의 둘레에서 연장되는 제2 원호(116)를 따라 정렬되어 있다. 제2 평면(118)은 플라터(50)의 상면(49) 위에 높이 h2로 위치되어 있고, 상면(49)을 포함하는 평면에 실질적으로 평행하다.
재료 인젝터(106)의 주입 포트(108)는 제3 격벽(72)에 근접하게 공간적으로 위치 결정된다. 주입 포트(108)는 제3 평면(122)에서 둘레 측벽(36)의 둘레에서 연장되는 제3 원호(120)를 따라 정렬되어 있다. 제3 평면(122)은, 플라터(50)의 상면(49) 위에 높이 h3로, 제2 세트의 주입 포트(110) 위에 위치되어 있다. 제3 평면(122)은 상면(49)을 포함하는 평면에 실질적으로 평행하다. 주입 포트(110)는 제4 격벽(74)에 근접하여 공간적으로 위치 결정된다. 주입 포트(110)는 제4 평 면(126)에서 둘레 측벽(36)의 둘레에서 연장되는 제4 원호(124)를 따라 배치되어 있다. 제4 평면(126)은 플라터(50)의 상면(49) 위에 높이 h4로 있고, 상면(49)을 포함하는 평면에 평행하다. 원호(112, 116, 120, 124)는 각각 둘레 측벽(36)의 둘레에서 원주 방향으로 계측된다.
본 발명의 일 실시예에 있어서, 제1 세트의 주입 포트(102)와 제3 세트의 주입 포트(108)는 실질적으로 동일 평면에 있으므로, 높이 h1과 높이 h3은 실질적으로 동일하며, 제2 세트의 주입 포트(104)와 제4 세트의 주입 포트(110)는 실질적으로 동일 평면에 있으므로, 높이 h2와 높이 h4는 실질적으로 동일하다. 당업자라면 이해할 수 있듯이, 높이 h1 내지 h4를 조정하여, 평면(114, 118, 122, 126)이 다른 상대 배치를 갖도록 할 수도 있다.
본 발명의 특정의 일 실시예에 있어서, 제1 원호(112)와 제3 원호(120)는 각각 약 44°의 원호각으로 뻗어 있을 수도 있다. 제2 원호(116)와 제4 원호(124)는 각각 약 22°의 원호각으로 뻗어 있을 수도 있다. 당업자는, 각각의 격실(76, 78, 80, 82)에 독립적으로 임의의 정해진 수의 주입 포트(102, 104, 108, 110)를 설치할 수 있고, 각각의 원호(112, 116, 120, 124)를 임의의 정해진 원호각으로 뻗게 할 수도 있다는 것을 이해할 것이다. 주입 포트(102, 104, 108, 110)의 수와 원호(112, 116, 120, 124)의 원호각은, 각 기판(15)에 증착되는 박막의 적어도 하나의 특성을 최적화하거나 및/또는 기판(15)의 상면을 가로질러 제1 및 제2 공정 재료의 흐름을 최적화하게 협력하도록 선택된다.
재료 인젝터(100, 106)는 둘레 측벽(36)으로부터 멀어지고 회전축선(54)을 향하는 실질적으로 반경 방향으로 각각의 제1 및 제2 공정 재료를 주입하도록 구성될 수도 있다. 이러한 구성에 있어서, 포어 라인(42)을 통한 동시 진공 배기와 함께 각 재료 인젝터(100, 106)로부터 공정 재료를 주입함으로써, 둘레 측벽(36)으로부터 각 기판(15)의 상면에 실질적으로 평행한 방향으로 기판(15)을 가로질러, 그리고 포어 라인(42)의 중앙 위치를 향하여 각 공정 재료의 가스 흐름이 생성된다. 그 결과, 과잉의 공정 재료와 기판(15)의 상면에서 발생하는 반응으로 인한 증착 부산물은, 새로운 공정 가스가 격실(76, 80) 내에 보충됨에 따라 포어 라인(42)을 통하여 제거된다.
기판 지지부(48)가 회전축선(54)을 중심으로 회전할 때에, 플라터(50)의 원주 둘레에서의 리세스(52)의 배치로 인하여, 각 기판(15)을 각 격실(76, 78, 80, 82) 내측의 상이한 환경에 순차적으로 노출시킬 수 있다. 예시적으로, 2π 라디안(360°)의 폐경로를 통한 기판 지지부(48)의 회전 시에, 각 기판(15)은 순차적으로, 제1 격실(76)의 내측 환경의 제1 공정 재료에 노출된 후, 제2 격실(78)의 내측 환경을 이루는 퍼지 가스에 노출되고, 그 후 제3 격실(80)의 내측 환경의 제2 공정 재료에 노출되며, 마지막으로 제4 격실(82)의 내측 환경을 이루는 퍼지 가스에 노출된다. 각각의 리세스(52)는, 각 기판(15)에 증착되는 박막의 특성에 의해 요청되는 바와 같이, 각각의 격실(76, 78, 80, 82)에서 박막을 형성하기에 충분하게 원하는 시간 동안 체류한다.
제2벽(34)은 퍼지 가스 시스템(131)으로부터 공정 챔버(16) 내로 퍼지 가스 를 도입하도록 기판 지지부(48)의 아래 또는 하부에 바닥 퍼지 개구(130)를 구비한다. 기판 지지부(48)의 아래에 있는 퍼지 가스는, 각 격실(76, 80)의 외측에 제1 및 제2 공정 재료가 전달되는 것을 줄이는 기능을 할 수도 있다.
사용시 및 도 1, 도 2, 도 2a, 도 3a 및 도 3b를 참조하면, 증착 시스템(10)의 공정 챔버(16)는, 플라터(50)의 리세스(52)에 수용되고 회전축선(54)의 둘레에 원주 방향으로 간격을 두고 배치되어 있는 각 기판(15)에 층을 증착하는 데 사용된다. 기판(15)은, 일방향 화살표 89(도 1, 도 2 및 도 2a)로 표시된 바와 같이, 회전축선(54) 둘레에서 폐경로로 연속해서 회전한다. 각속도는 예컨대 약 240 rpm일 수도 있다. 제1 공정 재료는 회전축선(54)에 대하여 반경 방향으로 제1 격실(76) 내로 주입되고, 이 제1 격실은 회전축선(54)의 둘레에서 제1 원호(112)의 경계를 구획한다. 연속적으로 회전하는 각각의 기판(15)은 플라터(50)의 각 전회전 중에 제1 격실(76) 내의 제1 공정 재료에 노출된다. 제1 공정 재료는 제1 격실(76) 내에서 제1 원호(112)에 걸쳐서 기판(15) 위의 높이 h1에서 제1 흐름으로 주입되고, 제1 격실(76) 내에서 제2 원호(116)에 걸쳐서 기판(15) 위의 높이 h2에서 제2 흐름으로 주입된다.
제1 공정 재료에 노출되기 전후에, 연속해서 회전하는 각각의 기판은 제2 및 제4 격실(78, 82) 내의 불활성 분위기에 노출되고, 이들 격실은 각 전회전 중에 회전축선(54)의 둘레에서 제2 및 제4 원호(116, 124)의 경계를 각각 구획한다. 제2 공정 재료는 회전축선(54)에 대하여 반경 방향으로 제3 격실(80) 내로 주입되며, 이 제3 격실은 제2 및 제4 격실(78, 82)에 의해 제1 격실(76)과 격리되어 있다. 층은, 제1 및 제2 공정 재료의 화학 반응에 의해 연속적으로 회전하는 각 기판(15) 상에 일련의 개별 박막 라미나(lamina)로서 점증적으로 형성된다. 한정의 의도는 없는 예로서, 제1 및 제2 격실(76, 78) 내측의 진공압은 10 Torr 정도일 수 있고, 기판(15)은 제1 및 제2 공정 재료에 노출되어 있는 상태로 약 400℃의 공정 온도로 가열될 수도 있다.
증착 공정의 형태는, 박막을 점증적으로 형성하거나 적층하도록 자기제어식(self-limiting manner)으로 반응하는 적절한 가스상의 전구체를 교대로, 그리고 순차적으로 도입함으로써 박막의 각 원자층 또는 그 일부를 기판(15)에 증착하는 것을 제어하는 종래의 증착 기술이다. 제1 격실(76) 내에서, 제1 공정 재료의 분자는 (화학적으로, 흡수에 의해, 또는 흡착에 의해 등) 각 기판(15)의 상면에 결합되어 제1 공정 재료의 단층 또는 단층의 일부를 형성한다. 제3 격실(80) 내에서, 제2 공정 재료는 각 연속 기판(15) 상의 제1 공정 재료의 분자와 반응한다. 기판(15)이 제1 및 제3 격실(76, 80)을 통하여 회전함에 따라, 이들 단계는, 제1 및 제2 공정 재료에 대한 순차적인 노출과 함께 반복된다. 제1 및 제3 격실(76, 80) 내의 제1 및 제2 공정 재료의 환경은 각각, 제2 및 제4 격실(78, 82) 내측의 화학적으로 비반응성의 퍼지 가스 환경에 의해 서로 격리되어 있다. 제1 및 제3 격실(76, 80) 내측의 환경은 변화되지 않으므로, 제1 및 제2 공정 재료에 연속적으로 노출된다.
기판(15)은 증착 공정을 촉진하도록 공정 온도로 가열될 수도 있다. 주로 열적으로 동작되는 CVD 공정과 비교하여, ALD는 주로 화학적으로 동작된다. 따라서 ALD를 CVD보다 현저히 낮은 기판 온도에서 수행할 수도 있다.
기판(15)의 회전은, 제1 공정 재료의 주입이 개시될 때, 그리고 제1 공정 재료의 주입이 중지될 때에 상이한 기판(15)이 제1 공정 재료에 노출되도록 조절될 수도 있다. 이러한 형태의 조절은 비교적 얇은 증착 층의 균일성을 제어하는 데에 효과적일 수 있다. 격실(76, 78, 80, 82)은 기판(15) 위의 위치로부터 제1 격실과 연통하는 포어 라인(42)을 통하여 진공 배기될 수도 있다. 기판(15)은 일정 각속도로 회전축선(54)을 중심으로 회전할 수도 있다.
본 발명은, 처리 공간(38)을 구획하는 격벽(68, 70, 72, 74)을, 격실(76, 78, 80, 82)이 공정 챔버(16) 내에서의 CVD 공정에 의해 층을 증착하는 단일 격실로 함께 합쳐지도록 조정할 수도 있는 점을 고려하고 있다. 구체적으로, 격벽(68, 70, 72, 74)은, 위치 결정 기구(도시 생략)에 의해 도면 부호 135로 표시되는 바와 같이 수직 방위(도 1, 도 2, 도 2a, 도 3a, 도 3b에 도시)로부터 실질적으로 수평 방위로 대략 회전축선(54)으로부터 연장되는 반경 방향 축선(137) 둘레에서 피벗되도록 구성될 수도 있다. 재료 인젝터(100, 106)를 통하여 주입된 가스는 제1 및 제2 공정 재료를 CVD 증착 공정에 이송하는데 사용될 수도 있다. CVD 증착 공정은 회전하는 기판 지지부(48)에 의해 실행될 수도 있고, 대안으로 정지 상태의 기판 지지부(48)에 의해 실행될 수도 있다.
동일 도면 부호가 도 1, 도 2, 도 2a, 도 3a 및 도 3b의 동일 구성을 지칭하고 있으며, 본 발명의 변형예를 도시하고 있는 도 4를 참조하면, 공정 챔버(16a) 는, 각 세트의 주입 포트(102, 104)(도 2, 도 2a, 도 3a, 도 3b)를 슬롯형 주입 포트(136, 138)로 대체하고 있는 재료 인젝터(100a)와, 각 세트의 주입 포트(108, 110)(도 2, 도 2a, 도 3a, 도 3b)를 슬롯형 주입 포트(140, 142)로 대체하고 있는 재료 인젝터(106a)를 구비한다. 주입 포트(102)와 유사하게, 주입 슬롯(136)은 제1 격벽(68)에 근접하게 공간적으로 위치 결정되고, 제1 원호(112)를 따라 정렬되어 있다. 주입 포트(104)와 유사하게, 주입 슬롯(138)은 제2 격벽(70)에 근접하게 공간적으로 위치 결정되고, 제2 원호(116)를 따라 정렬되어 있다. 주입 포트(108)와 유사하게, 주입 슬롯(140)은 제3 격벽(72)에 근접하게 공간적으로 위치 결정되고, 제3 원호(120)를 따라 정렬되어 있다. 주입 포트(110)와 유사하게, 주입 슬롯(142)은 제4 격벽(74)에 근접하게 공간적으로 위치 결정되고, 제4 원호(124)를 따라 정렬되어 있다. 주입 슬롯(136, 138, 140, 142)의 특성은, 전술한 바와 같이 주입 포트(102, 104, 108, 110)의 특성과 실질적으로 동일하다.
동일 도면 부호가 도 1, 도 2, 도 2a, 도 3a, 도 3b 및 도 4의 동일 구성을 지칭하고 있으며, 본 발명의 변형예를 도시하고 있는 도 5를 참조하면, 공정 챔버(16b)는 수직으로 만곡된 덕트 또는 관형 도관의 형태를 갖는 포어 라인(42a)을 구비하고, 이 포어 라인은 공정 챔버(16b)의 제2벽(34)을 통하여 연장되는 포트(44a)와 결합된다. 이러한 바닥 펌핑 구조에 있어서, 과잉의 공정 재료와 증착 부산물은 포트(44a)를 통하여 공정 챔버(16b)로부터 제거된다. 이 실시예에 있어서, 제1 및 제2 원호(112, 116)는, 상이한 평면(114, 118)을 제외하고는 주입 포트(102) 중 적어도 하나가 주입 포트(104) 중 적어도 하나와 공간적으로 오버랩하 도록 오버랩되어 있다. 마찬가지로, 본 실시예에 있어서, 제3 및 제4 원호(120, 124)는, 상이한 평면(122, 126)을 제외하고는 주입 포트(108) 중 적어도 하나가 주입 포트(110) 중 적어도 하나와 공간적으로 오버랩하도록 오버랩되어 있다.
동일 도면 부호가 도 1, 도 2, 도 2a, 도 3a, 도 3b, 도 4 및 도 5의 동일 구성을 지칭하고 있으며, 본 발명의 변형예를 도시하고 있는 도 6을 참조하면, 공정 챔버(16c)는, 제1 공정 재료를 이온, 라디칼, 또는 이들의 조합을 포함하는 플라즈마 산물의 형태로 제1 격실(76)에 공급하는 플라즈마 공급원(144)을 갖는 재료 인젝터(100b)를 포함한다. 도파관(146a, 146b)이 공정 챔버(16c)의 둘레 측벽(36)의 주입 포트(148, 150)와 플라즈마 공급원(144)을 결합한다. 주입 포트(148)는 제1 격벽(68)에 인접하게 위치되고, 제2 주입 포트(150)는 제2 격벽(70)에 인접하게 위치된다. 공정 챔버(16c)는, 제2 공정 재료를 이온, 라디칼, 또는 이들의 조합을 포함하는 플라즈마 산물의 형태로 격실(80)에 공급하는 플라즈마 공급원(152)을 갖는 재료 인젝터(106b)를 포함한다. 도파관(154a, 154b)이 공정 챔버(16c)의 둘레 측벽(36)의 주입 포트(156, 158)와 플라즈마 공급원(152)을 결합한다. 주입 포트(156)는 제3 격벽(72)에 인접하여 위치되고, 주입 포트(158)는 제4 격벽(74)에 인접하여 위치된다.
공정 챔버(16c)는 기판(15) 상에서의 박막의 플라즈마 지원 원자층 증착에 사용될 수도 있다. 본 발명은, 공정 챔버(16c)가 다수의 플라즈마 공급원(144, 152)을 구비하는 것을 설명하고 있지만, 주입 포트(102, 104, 108, 110; 도 1, 도 2, 도 2a, 도 3a, 도 3b) 또는 슬롯형 주입 포트(136, 138, 140, 142; 도 4)를 이 용하여 제1 공정 재료 또는 제2 공정 재료를 격실(76, 80)에 공급하는 것도 고려할 수 있다. 측벽(36) 둘레의 주입 포트(148, 150)의 위치를 이용하여, 기판(15) 상에서 증착 막의 균일성을 조정할 수도 있다.
도 7 내지 도 9를 참조하면, 격벽(68, 70, 72, 74)의 각도 방위를 조정하여 격실(76, 78, 80, 82)이 점유하는 각도 세그먼트의 호 길이를 변경할 수도 있다.
특히 도 7을 참조하면, 격벽(68, 70, 72, 74)은 합동하는 수직 끼인각을 갖도록 서로에 대해 상대적으로 배치되어 있다. 기판 지지부(48; 도 2)의 플라터(50)가 일정 각속도로 회전함에 따라, 플라터(50)에 의해 지지된 기판(15)은 대략 균등한 체류 시간 동안 각 격실(76, 80)에 순차적으로 노출되어 각 기판(15)의 상면에 제1 및 제2 공정 재료의 층을 교대로 형성한다. 격벽(68, 70, 72, 74)의 이러한 배치는, 도 1 내지 도 6에 도시된 공정 챔버(16, 16a, 16b, 16c)에 표시되어 있다.
특히 도 8을 참조하면, 처리 공간(38)은, 격벽(68, 70, 72, 74)과 유사한 격벽(68a, 70a, 72a, 74a)에 의해 격실(76, 78, 80, 82)과 유사한 격실(76a, 78a, 80a, 82a)로 분할되어 있다. 제1 및 제2 격벽(68a, 70a)은 둔각의 끼인각만큼 떨어져 격실(76a)을 구획하고 있다. 제3 및 제4 격벽(72a, 74a)은, 둔각의 끼인각만큼 떨어져 격실(80a)을 구획하고 있다. 제3 및 제4 격벽(72a, 74a) 사이의 둔각 끼인각은 제1 및 제2 격벽(68a, 70a) 사이의 둔각 끼인각과 합동하는데, 그 이유는, 이들 둔각 끼인각이 맞꼭지각을 나타내기 때문이다. 제1 및 제2 격벽(68a, 70a) 사이의 둔각 끼인각과 제3 및 제4 격벽(72a, 74a) 사이의 둔각 끼인각은 필수 적으로, 제2 및 제3 격벽(70a, 72a) 사이와 제1 및 제4 격벽(68a, 74a) 사이에 동등한 예각을 생성한다. 격실(78a)을 구획하는 제2 및 제3 격벽(70a, 72a) 사이의 예각은 격실(82a)을 구획하는 제1 및 제4 격벽(68a, 74a) 사이의 예각과 합동이다. 이들 합동의 예각 끼인각은 맞꼭지각을 나타낸다. 제2 및 제3 격벽(70a, 72a) 사이의 예각 끼인각과 제3 및 제4 격벽(72a, 74a) 사이 또는 제1 및 제2 격벽(68a, 70a) 사이의 둔각 끼인각은 보각(supplemental angle)이다. 또한, 제1 및 제4 격벽(68a, 74a) 사이의 예각 끼인각과, 제3 및 제4 격벽(72a, 74a) 사이 또는 제1 및 제2 격벽(68a, 70a) 사이의 둔각 끼인각도 보각이다.
기판 지지부(48; 도 2)의 플라터(50)가 일정한 각속도로 회전함에 따라, 플라터(50)에 의해 지지된 기판(15)은, 동일한 각속도로 가정할 때, 도 7에 도시된 배치보다 더 긴 체류 시간 동안 제1 및 제2 공정 재료에 순차적으로 노출된다. 제1 및 제3 격실(76a, 80a)에서의 체류 시간은 대략 동일하다. 당업자는, 격벽(68a, 70a, 72a, 74a) 사이의 각도와 각속도를 조정하여 각 격실(76a, 80a)에서의 기판(15)의 체류 시간을 조정할 수 있다는 것을 이해할 수 있을 것이다. 체류 시간을 길게 함으로써, 제1 및 제2 공정 재료에 대한 노출이 증가하여 박막의 성장 속도를 증가시키도록 조작할 수도 있다.
도 9를 참조하면, 처리 공간(38)은, 격벽(68, 70, 72, 74)과 유사한 격벽(68b, 70b, 72b, 74b)에 의해 격실(76, 78, 80, 82)과 유사한 격실(76b, 78b, 80b, 82b)로 분할된다. 제1 및 제2 격벽(68b, 70b) 사이의 끼인각(α1)은 제3 및 제4 격벽(72b, 74b) 사이의 끼인각(α2)보다 크다. 또한, 제2 및 제3 격벽(70b, 72b) 사이의 끼인각(α3)은 제1 및 제4 격벽(68b, 74b) 사이의 끼인각(α4)과 대략 동일하다. 기판 지지부(48; 도 2)의 플라터(50)가 회전함에 따라, 플라터(50)에 의해 지지된 기판(15)은 순차적으로, 제1 체류 시간 동안 제1 격실(76b)의 제1 공정 재료에 노출되고, 제1 체류 시간보다 짧은 제2 체류 시간 동안 제2 격실(78b)의 퍼지 가스에 노출되며, 제1 체류 시간보다 짧은 제3 체류 시간 동안 제3 격실(80b)의 제2 공정 가스에 노출되며, 다시 제2 체류 시간과 동일한 체류 시간 동안 제4 격실(82b)의 퍼지 가스에 노출되어, 각 기판(15)의 상면에서 제1 및 제2 공정 재료의 층을 교대로 형성한다. 개별 체류 시간은, 기판 지지부(48; 도 2)의 플라터(50)가 일정 각속도로 회전하고 있는 경우에는 각속도와는 독립적이다.
격벽(68b, 70b, 72b, 74b)은, α1이 약 270°이고, α2가 약 30°가 되도록 배치되어 있지만, 당업자는, 4개의 격실(76b, 78b, 80b, 82b) 내에서 임의의 정해진 체류 시간을 부여하도록 격벽(68b, 70b, 72b, 74b)을 구성할 수 있다는 것을 이해할 것이다. 예컨대, 체류 시간을 조정하여 상이한 반응 속도의 제1 및 제2 공정 재료를 보상할 수도 있다. 예컨대, 제1 격실(76b)에서의 제1 공정 재료에 대한 노출 체류 시간은 제2 격실(80b)에서의 제2 공정 재료에 대한 노출 체류 시간보다 현저하게 길고, 이로써 제1 공정 재료에 대한 노출을 최대화하여 빠르게 반응하는 제2 공정 재료를 보상하고 있다.
본 발명을 하나 이상의 실시예의 설명을 통하여 예시하고 있고, 실시예를 상 당히 상세하게 설명하고 있지만, 이들 실시예가 첨부의 청구범위의 사상을 그러한 상세한 설명으로 제한하거나 한정하는 것을 의도하는 것은 아니다. 당업자는 추가의 이점 및 변형예를 쉽게 알 수 있을 것이다. 따라서 본 발명의 광의의 양태는, 도시하고 설명한 특정의 세부 사항, 대표적인 장치 및 방법, 예시적인 예로 한정되지 않는다. 따라서 발명의 일반적 개념을 벗어나지 않으면서 그러한 상세한 사항을 변형할 수도 있다.

Claims (40)

  1. 복수의 기판을 처리하기 위한 증착 시스템으로서,
    제1 벽, 상기 제1 벽으로부터 수직으로 간격을 두고 있고 상기 제1 벽과 평행하게 배향되는 제2 벽, 상기 제1 벽과 제2 벽을 연결하여 처리 공간을 구획하는 둘레 측벽을 포함하는 공정 챔버와,
    상기 제1 벽과 제2 벽 사이에서 상기 둘레 측벽의 반경 방향으로 내측에 배치되어 있고, 상기 기판을 회전축선을 중심으로 상기 둘레 측벽에 대하여 회전시키도록 구성되며, 상기 기판을 지지하도록 구성되는 표면을 가지는 기판 지지부와,
    상기 회전축선으로부터 상기 둘레 측벽을 향하여 반경 방향으로 각각 연장되며, 상기 처리 공간을 제1 격실과, 불활성 분위기를 수용하도록 구성된 제2 격실로 분할하는 복수의 격벽과,
    상기 둘레 측벽을 통하여 상기 제1 격실과 연통하며, 상기 둘레 측벽을 통하여 상기 제1 격실 내로 제1 공정 재료를 주입하도록 구성된 복수의 제1 주입 포트 및 상기 둘레 측벽을 통하여 상기 제1 격실 내로 상기 제1 공정 재료를 주입하도록 구성된 복수의 제2 주입 포트를 포함하는 제1 인젝터를 구비하며,
    상기 복수의 제1 주입 포트는, 상기 제1 벽과 상기 기판 지지부 사이에 제1 높이로 배치되는 제1 평면에 배치되며,
    상기 복수의 제2 주입 포트는, 상기 기판 지지부의 상기 표면 위의 제2 평면에 상기 제1 평면의 제1 높이와 다른 제2 높이로 배치되며,
    상기 기판 지지부는 각각의 기판을 이동시켜, 각 기판의 상면을 상기 제1 격실 내의 제1 공정 재료와 상기 제2 격실 내의 불활성 분위기에 순차적으로 노출시키는 것인 증착 시스템.
  2. 제1항에 있어서, 상기 격벽은 불활성 분위기를 수용하도록 구성된 제3 격실을 갖도록 상기 처리 공간을 더 분할하며, 상기 제1 격실은, 상기 제2 격실의 불활성 분위기와 상기 제3 격실의 불활성 분위기 사이에 병렬 배치되어 있는 것인 증착 시스템.
  3. 제2항에 있어서, 상기 기판 지지부는, 각 기판이 상기 제2 격실의 불활성 분위기, 상기 제1 격실의 제1 공정 재료 및 상기 제3 격실의 불활성 분위기에 순차적으로 노출되도록 상기 회전축선을 중심으로 회전하도록 구성되는 것인 증착 시스템.
  4. 제1항에 있어서, 상기 격벽은, 상기 제2 격실이 상기 제1 격실과 제3 격실 사이에 병렬 배치되는 식으로 제3 격실을 갖도록 상기 처리 공간을 더 분할하며,
    상기 둘레 측벽을 통하여 상기 제3 격실과 연통하며, 제2 공정 재료를 상기 제3 격실 내로 주입하도록 구성된 제2 인젝터를 더 구비하는 증착 시스템.
  5. 제4항에 있어서, 상기 기판 지지부는, 각 기판이 상기 제1 격실의 제1 공정 재료, 상기 제2 격실의 불활성 분위기 및 상기 제3 격실의 제2 공정 재료에 순차적으로 노출되도록 상기 회전축선을 중심으로 회전하도록 구성되는 것인 증착 시스템.
  6. 제4항에 있어서, 상기 격벽은 상기 회전축선에서 교차하며, 상기 제1 격실은 제1 끼인각(included angle)만큼 떨어진 인접 격벽들에 의해 상기 회전축선을 중심으로 구획되며, 상기 제3 격실은 상기 제1 끼인각과 상이한 제2 끼인각만큼 떨어진 인접 격벽들에 의해 상기 회전축선을 중심으로 구획되는 것인 증착 시스템.
  7. 제4항에 있어서, 상기 격벽은, 상기 제2 격실이 상기 제1 격실과 제3 격실 사이에 병렬 배치되는 식으로 제4 격실을 구획하도록 상기 처리 공간을 더 분할하며, 상기 제4 격실은 상기 회전축선에 대하여 상기 제2 격실에 직경 방향으로 대향하고, 상기 제4 격실은 불활성 분위기를 수용하도록 구성되는 것인 증착 시스템.
  8. 제1항에 있어서, 상기 기판 지지부의 상기 표면은, 기판 중 적어도 하나를 유지하도록 각각 구성된 복수의 리세스를 포함하는 것인 증착 시스템.
  9. 제8항에 있어서,
    상기 공정 챔버의 외측에 있는 구동 모터와,
    플라터와 기계적으로 결합되고 상기 회전축선을 따라 연장되는 구동 샤프트와,
    상기 제2 벽을 통하여 연장되고 상기 구동 샤프트와 상기 구동 모터를 결합시키는 로터리 피드스루(rotary feedthrough)로서, 상기 구동 모터의 회전 운동을 상기 구동 샤프트에 전달하여 상기 플라터를 상기 회전축선을 중심으로 회전시키도록 구성되는 것인 로터리 피드스루
    를 더 구비하는 증착 시스템.
  10. 제9항에 있어서, 상기 구동 모터와 전기적으로 결합되고, 상기 플라터를 상기 회전축선을 중심으로 회전시키도록 상기 구동 모터를 동작시키는 컨트롤러를 더 구비하는 증착 시스템.
  11. 제8항에 있어서, 상기 리세스는 상기 회전축선을 중심으로 하여 균일한 반경둘레에서 상기 표면 상에 분산되어 있고, 상기 리세스의 인접하는 쌍은 상기 회전축선을 중심으로 등각의 원주 방향 간극을 갖는 것인 증착 시스템.
  12. 제1항에 있어서, 상기 둘레 측벽은, 상기 회전축선과 동축인 방위축(azimuthal axis)을 중심으로 원주 방향으로 연장되는 것인 증착 시스템.
  13. 제1항에 있어서, 상기 제1 인젝터는, 상기 제1 공정 재료를 상기 회전축선을 향하여 상기 제1 격실 내로 주입하도록 구성되는 것인 증착 시스템.
  14. 제1항에 있어서, 상기 제1 인젝터에 의해 상기 제1 격실과 결합되는 제1 공정 재료의 공급원을 더 포함하는 증착 시스템.
  15. 제1항에 있어서, 상기 제1 공정 재료는 이온, 라디칼 또는 이들의 조합을 포함한 플라즈마 산물(product)이고,
    상기 제1 인젝터에 의해 상기 제1 격실과 결합되는 플라즈마 산물의 제1 공급원을 더 포함하는 증착 시스템.
  16. 제1항에 있어서, 상기 제1 인젝터는, 상기 제1 벽과 상기 기판 지지부 사이의 위치에서 상기 제1 공정 재료를 주입하도록 위치 결정되며,
    상기 제1 벽을 통하여 상기 제1 및 제2 격실과 연통하는 진공 포트와,
    상기 진공 포트와 결합된 진공 라인을 더 포함하는 증착 시스템.
  17. 제16항에 있어서, 상기 격벽은 상기 진공 포트를 통하여 상기 진공 라인 내로 돌출하는 것인 증착 시스템.
  18. 제1항에 있어서, 상기 제1 인젝터는 상기 제1 벽과 상기 기판 지지부 사이의 위치에서 제1 공정 재료를 주입하도록 위치 결정되며,
    상기 제2 벽을 통하여 상기 제1 및 제2 격실과 연통하는 진공 포트를 더 포함하는 증착 시스템.
  19. 제1항에 있어서, 상기 격벽은 협력하여 상기 제1 격실을 구획하는 제1 격벽 및 제2 격벽을 구비하고, 상기 복수의 제1 주입 포트는 상기 제1 격벽에 근접한 상기 제1 격실 내의 제1 원호(angular arc)를 따라 배치되어 있고, 상기 복수의 제2 주입 포트는 상기 제2 격벽에 근접한 상기 제1 격실 내의 제2 원호를 따라 배치되어 있고, 상기 제1 원호와 제2 원호는 상이한 원호각을 가지는 것인 증착 시스템.
  20. 복수의 기판을 처리하기 위한 증착 시스템으로서,
    제1 벽, 상기 제1 벽으로부터 수직으로 간격을 두고 있고 상기 제1 벽과 평행하게 배향되는 제2 벽, 및 상기 제1 벽과 제2 벽을 연결하여 처리 공간을 구획하는 둘레 측벽을 포함하는 공정 챔버와,
    상기 제1 벽과 제2 벽 사이에서 상기 둘레 측벽의 반경 방향으로 내측에 배치되어 있고, 상기 기판을 회전축선을 중심으로 상기 둘레 측벽에 대하여 회전시키도록 구성되며, 상기 기판을 지지하도록 구성되는 표면을 가지는 기판 지지부와,
    상기 회전축선으로부터 상기 둘레 측벽을 향하여 반경 방향으로 각각 연장되며, 상기 처리 공간을 제1 격실과, 불활성 분위기를 수용하도록 구성된 제2 격실로 분할하는 복수의 격벽과,
    상기 둘레 측벽을 통하여 상기 제1 격실과 연통하며, 상기 둘레 측벽을 통하여 상기 제1 격실 내로 제1 공정 재료를 주입하도록 구성되며, 상기 제1 벽과 상기 기판 지지부 사이의 제1 평면에서 상기 둘레 측벽을 중심으로 원주 방향으로 연장되는 제1 주입 슬롯과,
    상기 제1 평면과 상기 기판 지지부 사이에 배치된 제2 평면에서 상기 둘레 측벽을 중심으로 원주 방향으로 연장되며, 상기 둘레 측벽을 통하여 상기 제1 공정 재료를 상기 제1 격실 내로 주입하도록 구성되는 제2 주입 슬롯
    을 구비하며,
    상기 기판 지지부는 각각의 기판을 이동시켜, 각 기판의 상면을 상기 제1 격실 내의 제1 공정 재료와 상기 제2 격실 내의 불활성 분위기에 순차적으로 노출시키는 것인 증착 시스템.
  21. 제20항에 있어서, 상기 격벽은 협력하여 상기 제1 격실을 구획하는 제1 격벽 및 제2 격벽을 구비하고, 상기 제1 주입 슬롯은 상기 제1 격벽에 근접한 상기 제1 격실 내의 제1 원호를 따라 연장되고, 상기 제2 주입 슬롯은 상기 제2 격벽에 근접한 상기 제1 격실 내의 제2 원호를 따라 연장되고, 상기 제1 원호와 제2 원호는 상이한 원호각을 가지는 것인 증착 시스템.
  22. 제20항에 있어서, 상기 제1 평면은 상기 기판 지지부 위에 제1 높이로 배치되어 있고, 상기 제2 평면은, 상기 기판 지지부 위에, 상기 제1 높이와 상이한 제2 높이로 배치되어 있는 것인 증착 시스템.
  23. 제1항에 있어서, 상기 공정 챔버와 상기 격벽은 정지되어 있는 것인 증착 시스템.
  24. 제1항에 있어서, 상기 격벽은, 상기 제1 격실이 상기 제2 격실과 연속하도록 상기 둘레 측벽에 대하여 이동하도록 구성되는 것인 증착 시스템.
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
KR1020097018432A 2007-02-12 2008-02-11 증착 시스템 KR101420465B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/673,852 2007-02-12
US11/673,852 US8043432B2 (en) 2007-02-12 2007-02-12 Atomic layer deposition systems and methods
PCT/US2008/053561 WO2008100846A2 (en) 2007-02-12 2008-02-11 Atomic layer deposition systems and methods

Publications (2)

Publication Number Publication Date
KR20090118951A KR20090118951A (ko) 2009-11-18
KR101420465B1 true KR101420465B1 (ko) 2014-07-16

Family

ID=39432907

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097018432A KR101420465B1 (ko) 2007-02-12 2008-02-11 증착 시스템

Country Status (6)

Country Link
US (1) US8043432B2 (ko)
JP (1) JP5372779B2 (ko)
KR (1) KR101420465B1 (ko)
CN (1) CN101611167A (ko)
TW (1) TWI438300B (ko)
WO (1) WO2008100846A2 (ko)

Families Citing this family (344)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9226407B2 (en) * 2002-07-01 2015-12-29 Semigear Inc Reflow treating unit and substrate treating apparatus
DE102008010041A1 (de) * 2007-09-28 2009-04-02 Osram Opto Semiconductors Gmbh Schichtabscheidevorrichtung und Verfahren zu deren Betrieb
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5195174B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5276386B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラム及びこれを記憶するコンピュータ可読記憶媒体
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5276388B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP2010073823A (ja) * 2008-09-17 2010-04-02 Tokyo Electron Ltd 成膜装置、成膜方法、及びコンピュータ可読記憶媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5295095B2 (ja) * 2008-12-29 2013-09-18 ケー.シー.テック カンパニー リミテッド 原子層蒸着装置
FI123539B (fi) * 2009-02-09 2013-06-28 Beneq Oy ALD-reaktori, menetelmä ALD-reaktorin lataamiseksi ja tuotantolinja
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5490585B2 (ja) * 2009-05-29 2014-05-14 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5444961B2 (ja) * 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US8034723B2 (en) * 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5396264B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5482196B2 (ja) * 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5423529B2 (ja) * 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
FI124414B (fi) * 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
JP5625624B2 (ja) * 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
FI20105902A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Laite
FI124113B (fi) * 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
FI20105909A0 (fi) * 2010-08-30 2010-08-30 Beneq Oy Suutinpää
JP5369304B2 (ja) * 2010-09-30 2013-12-18 ソイテック 原子層堆積によって半導体材料を形成するためのシステム及び方法
CN102477543A (zh) * 2010-11-23 2012-05-30 英作纳米科技(北京)有限公司 旋转式空间隔离化学气相淀积方法及其设备
KR101829669B1 (ko) 2011-01-04 2018-02-19 주식회사 원익아이피에스 박막 증착 방법 및 박막 증착 장치
KR101804127B1 (ko) * 2011-01-28 2018-01-10 주식회사 원익아이피에스 박막 증착 방법
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US20120272892A1 (en) * 2011-04-07 2012-11-01 Veeco Instruments Inc. Metal-Organic Vapor Phase Epitaxy System and Process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6000665B2 (ja) * 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177762B2 (en) * 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
US9748125B2 (en) 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
US20150167162A1 (en) * 2012-07-13 2015-06-18 Gallium Enterprises Pty Ltd Apparatus and method for film formation
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5876398B2 (ja) * 2012-10-18 2016-03-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9493874B2 (en) 2012-11-15 2016-11-15 Cypress Semiconductor Corporation Distribution of gas over a semiconductor wafer in batch processing
JP5939147B2 (ja) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI613412B (zh) 2013-03-11 2018-02-01 應用材料股份有限公司 高溫處理室蓋體
CN104798446B (zh) * 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
CN104342637B (zh) * 2013-07-26 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种原子层沉积设备
US20150034699A1 (en) * 2013-08-01 2015-02-05 Semigear Inc Reflow treating unit & substrate treating apparatus
US9694436B2 (en) * 2013-11-04 2017-07-04 Veeco Precision Surface Processing Llc System and method for flux coat, reflow and clean
US10607875B2 (en) * 2013-11-11 2020-03-31 Eryn Smith Automated electrostatic bonding/de-bonding apparatus
CN104746046A (zh) * 2013-12-29 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 原子层沉积设备
CN104746048A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP2015180768A (ja) * 2014-03-06 2015-10-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びに記録媒体
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102297567B1 (ko) 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR101723546B1 (ko) * 2014-10-20 2017-04-05 주식회사 케이씨텍 박막 형성방법 및 원자층 증착장치
KR102372893B1 (ko) * 2014-12-04 2022-03-10 삼성전자주식회사 발광 소자 제조용 화학 기상 증착 장치
TWI676709B (zh) 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
JP6398761B2 (ja) * 2015-02-04 2018-10-03 東京エレクトロン株式会社 基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US20170029948A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US20170088952A1 (en) * 2015-09-28 2017-03-30 Ultratech, Inc. High-throughput multichamber atomic layer deposition systems and methods
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102469407B1 (ko) 2016-02-12 2022-11-21 도쿄엘렉트론가부시키가이샤 일괄 처리 시스템에서의 다중막 퇴적 및 에칭을 위한 방법 및 장치
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI729101B (zh) 2016-04-02 2021-06-01 美商應用材料股份有限公司 用於旋轉料架基座中的晶圓旋轉的設備及方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
TWI734770B (zh) 2016-04-24 2021-08-01 美商應用材料股份有限公司 用於防止空間ald處理腔室中之背側沉積的設備
US11015246B2 (en) 2016-04-24 2021-05-25 Applied Materials, Inc. Apparatus and methods for depositing ALD films with enhanced chemical exchange
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) * 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US20210087687A1 (en) * 2017-04-10 2021-03-25 Picosun Oy Uniform deposition
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11152268B2 (en) 2018-03-20 2021-10-19 Tokyo Electron Limited Platform and method of operating for integrated end-to-end area-selective deposition process
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7249744B2 (ja) * 2018-08-02 2023-03-31 東京エレクトロン株式会社 成膜装置及び成膜方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20200056273A (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
WO2020101375A1 (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129578B (en) 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
CN112176321A (zh) * 2020-10-21 2021-01-05 江苏集萃有机光电技术研究所有限公司 一种原子层沉积装置及原子层沉积方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114790543A (zh) * 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 用于沉积层的方法和系统
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11961716B2 (en) * 2021-12-09 2024-04-16 Industrial Technology Research Institute Atomic layer deposition method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5482557A (en) * 1986-01-14 1996-01-09 Canon Kabushiki Kaisha Device for forming deposited film
WO2006065014A1 (en) * 2004-12-16 2006-06-22 Fusionaid Co., Ltd. Apparatus and method for thin film deposition

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3696779A (en) * 1969-12-29 1972-10-10 Kokusai Electric Co Ltd Vapor growth device
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4994301A (en) * 1986-06-30 1991-02-19 Nihon Sinku Gijutsu Kabusiki Kaisha ACVD (chemical vapor deposition) method for selectively depositing metal on a substrate
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
DE10141084A1 (de) * 2001-08-22 2002-11-28 Infineon Technologies Ag Vorrichtung zur Abscheidung von dünnen Schichten auf einem Stubstrat und entsprechendes Verfahren
AU2002343583A1 (en) * 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
KR100452525B1 (ko) * 2001-12-26 2004-10-12 주성엔지니어링(주) Ald 공정에 적합한 가스 인젝터
JP3670628B2 (ja) * 2002-06-20 2005-07-13 株式会社東芝 成膜方法、成膜装置、および半導体装置の製造方法
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
JP4879509B2 (ja) * 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
JP2006028577A (ja) * 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5482557A (en) * 1986-01-14 1996-01-09 Canon Kabushiki Kaisha Device for forming deposited film
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
WO2006065014A1 (en) * 2004-12-16 2006-06-22 Fusionaid Co., Ltd. Apparatus and method for thin film deposition

Also Published As

Publication number Publication date
JP2010518259A (ja) 2010-05-27
TW200900527A (en) 2009-01-01
US20080193643A1 (en) 2008-08-14
WO2008100846A2 (en) 2008-08-21
TWI438300B (zh) 2014-05-21
US8043432B2 (en) 2011-10-25
JP5372779B2 (ja) 2013-12-18
KR20090118951A (ko) 2009-11-18
WO2008100846A3 (en) 2008-11-06
CN101611167A (zh) 2009-12-23

Similar Documents

Publication Publication Date Title
KR101420465B1 (ko) 증착 시스템
CN101994101B (zh) 成膜装置
US9631277B2 (en) Atomic layer deposition carousel with continuous rotation and methods of use
US5879459A (en) Vertically-stacked process reactor and cluster tool system for atomic layer deposition
KR101562396B1 (ko) 성막 장치 및 기판 처리 장치
EP1159465B1 (en) Method of atomic layer deposition
KR101576302B1 (ko) 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
JP3649323B2 (ja) 薄膜製造装置
US20120225193A1 (en) Apparatus And Process For Atomic Layer Deposition
US20040067641A1 (en) Gas distribution system for cyclical layer deposition
EP1887104A2 (en) Radical assisted batch film deposition
US20120225191A1 (en) Apparatus and Process for Atomic Layer Deposition
US20100068893A1 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
KR20100062942A (ko) 성막 장치
JP2008509547A (ja) 高いスループットのcvd装置及び方法
EP1824960A2 (en) Substrate processing apparatus using a batch processing chamber
JP2008516428A (ja) 複数のゾーンを有した原子層堆積装置および複数のゾーンを用いた原子層堆積方法
KR20100103416A (ko) 성막 장치
KR101575393B1 (ko) 성막 방법
KR101658270B1 (ko) 성막 방법, 기록 매체 및 성막 장치
KR101734779B1 (ko) 성막 방법
KR100422398B1 (ko) 박막 증착 장비
US11538678B2 (en) Deposition method
CN114144540A (zh) 用于在基板上形成膜的蒸发器腔室

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180618

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190618

Year of fee payment: 6