JP5295095B2 - 原子層蒸着装置 - Google Patents

原子層蒸着装置 Download PDF

Info

Publication number
JP5295095B2
JP5295095B2 JP2009298157A JP2009298157A JP5295095B2 JP 5295095 B2 JP5295095 B2 JP 5295095B2 JP 2009298157 A JP2009298157 A JP 2009298157A JP 2009298157 A JP2009298157 A JP 2009298157A JP 5295095 B2 JP5295095 B2 JP 5295095B2
Authority
JP
Japan
Prior art keywords
exhaust
unit
substrate
atomic layer
substrates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009298157A
Other languages
English (en)
Other versions
JP2010157736A (ja
Inventor
寅 ▲徹▼ 申
京 俊 金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KCTech Co Ltd
Original Assignee
KCTech Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020080135963A external-priority patent/KR101046612B1/ko
Priority claimed from KR1020090047519A external-priority patent/KR101135853B1/ko
Application filed by KCTech Co Ltd filed Critical KCTech Co Ltd
Publication of JP2010157736A publication Critical patent/JP2010157736A/ja
Application granted granted Critical
Publication of JP5295095B2 publication Critical patent/JP5295095B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明は、原子層蒸着装置に関し、特に、同時に複数の基板をローディングおよびアンローディングして、スループットを向上させることができる原子層蒸着装置に関する。
一般的に、半導体基板やガラスなどの基板上に所定の厚さの薄膜を形成するためには、スパッタリング(sputtering)のように物理的な衝突を用いる物理気相成長法(PVD:physical vapor deposition)や化学反応を用いる化学気相成長法(CVD:chemical vapor deposition)などを用いた薄膜形成方法が用いられている。
半導体素子の設計ルール(design rule)の急激な微細化によって、微細パターンの薄膜が要求されるようになり、薄膜が形成される領域の段差も極めて大きくなった。このことにより、原子層の厚さの微細パターンを極めて均一に形成できるだけでなく、ステップカバレッジ(step coverage)が優れた原子層蒸着法(ALD:atomic layer deposition)の使用が増大している。
原子層蒸着法は、気体分子間の化学反応を利用するという点において、一般的な化学気相成長法と似ている。しかしながら、通常の化学気相成長法が複数の気体分子を同時にプロセスチャンバ内に注入して、基板上部で発生した反応生成物を基板に蒸着することとは異なり、原子層蒸着法は1つの気体物質をプロセスチャンバ内に注入した後に、これをパージ(purge)して加熱した基板の表面に物理的に吸着した気体のみを残留させ、この後に他の気体物質を注入することによって基板表面で発生する化学反応生成物を蒸着させるという点において異なる。このような原子層蒸着法によって実現する薄膜は、ステップカバレッジ特性が極めて優れており、不純物含有量が低い純粋な薄膜の実現が可能であるという長所を有しており、現在脚光を浴びている。
従来の原子層蒸着装置は、スループット(throughput)を向上させるために、複数の基板に対して同時に蒸着工程が実行されるセミバッチ式(semi−batch type)が開示されている。通常、セミバッチ式の原子層蒸着装置は、互いに異なる種類の蒸着ガスが噴射され、ガス噴射ユニットまたはサセプタユニットの高速回転によって基板が順に蒸着ガスが噴射される領域を通過して基板表面で蒸着ガス間の化学反応生成物が蒸着されて薄膜が形成される。
ここで、従来の原子層蒸着装置は、セミバッチ式のプロセスチャンバが2つ備えられ、同時に12枚の基板に対して蒸着工程を実行することができる。そして、原子層蒸着装置は、バッファからプロセスチャンバに基板を移送し、プロセスチャンバに基板をローディングおよびアンローディングするトランスファーロボットを備える。ここで、従来のトランスファーロボットは、基板を1枚ずつローディング/アンローディングして移送するように構成されており、原子層蒸着装置の空間的な制約により、通常1台のトランスファーロボットを備えている。
しかしながら、従来の原子層蒸着装置は、トランスファーロボット1台が基板をローディング/アンローディングして移送する役割を担当して、12枚の基板をすべて移送およびローディング/アンローディングするためには多くの時間が必要となる。このように、ローディング/アンローディングおよび移送時にトランスファーロボットで遅延する時間だけ蒸着工程に遅延が発生し、スループットと生産性が低下するという問題点がある。さらに、通常バッファには25枚または50枚の基板が格納されるが、プロセスモジュールは12枚の基板に対して蒸着工程を実行するために余分な基板が残るようになり、余分な基板の処理によってバッファを交換して基板を補充するのに時間遅延が発生することもある。
韓国公開特許第2009−0069075号公報
上述した問題点を解決するために、本発明は、基板のローディング/アンローディングおよび移送時に遅延の発生を防ぐことができる原子層蒸着装置を提供する。
また、本発明は、蒸着工程のスループットと生産性を向上させることができる原子層蒸着装置を提供する。
上述した本発明の目的を達成するために、本発明の一実施形態によれば、複数の基板をプロセスモジュールに移動するときに、複数の基板のローディング/アンローディングが可能な原子層蒸着装置は、基板をローディングおよびアンローディングするローディング/アンローディングモジュールと、複数の基板を同時に収容して蒸着工程を実行する複数のプロセスチャンバとを備え、前記複数のプロセスチャンバの内部の排気ガスを吸入して、前記プロセスチャンバの上部に排出させるように排気部を有するガス噴射ユニットが備えられるプロセスモジュールと、前記ローディング/アンローディングモジュールと前記プロセスモジュールとの間に備えられ、前記複数の基板を同時に保持して移送するトランスファーロボットとを備えるトランスファーモジュールと、を備え、1枚の基板をそれぞれ保持するため、前記基板の中心を横切って所定の幅の間隔を有するC字状又はコ字状の形状を有する先端部を有する複数のハンドリングアームを含み、前記ハンドリングアームの直線移動、回転移動及び昇降移動をさせる駆動アーム及び駆動部を含み、前記駆動アーム及び前記駆動部が前記ハンドリングアームを回転可能に支持し、前記駆動アーム及び前記駆動部が前記ハンドリングアームの駆動に必要な駆動力を供給して伝達してもよい。
ここで、前記ローディング/アンローディングモジュールは、複数の基板を格納するロードポートおよびバッファ部を備え、前記バッファ部は、前記基板を収容および格納し、前記ロードポートに格納された基板の数と前記プロセスチャンバに収容される基板の数とが倍数関係をなすように前記基板のローディング時に不足している数の基板を補充してもよい。すなわち、前記バッファ部は、前記基板のローディング時にロードポートで不足している枚数の基板を補充して、前記ロードポートに余分の基板が発生することを防ぎ、ローディング時に基板の補充のために、時間遅延が発生することを防ぐことができる。例えば、前記バッファ部は、前記トランスファーモジュールの一側に備えられ、前記トランスファーモジュールに前記基板を引出するときに、前記トランスファーモジュールの真空を破壊しないように、前記バッファ部の内部圧力を選択的に減圧/加圧される。
本発明の一実施形態において、前記トランスファーロボットは、それぞれ1枚ずつ基板を保持することができる複数のハンドリングアームを含んで構成される。例えば、前記トランスファーロボットは、2つのハンドリングアームを含み、前記ハンドリングアームは、前記基板の下部で前記基板を支持できるように、前記基板の直径に対応して前記基板の中心を横切って所定の幅を有するバー(bar)状または環状を有してもよい。ここで、前記ハンドリングアームは、前記基板のローディング時に、前記ハンドリングアームがリフトピンや他の構造物と干渉することを防ぐ。ここで、前記トランスファーロボットは、前記基板の移送時には、前記ハンドリングアームが上下方向に重なり、前記プロセスチャンバに前記基板をローディングおよびアンローディングするときには前記ハンドリングアームが左右にV字状に開く。
そして、前記トランスファーモジュールは、前記トランスファーロボットに前記基板の載置を検査するセンサを備え、前記基板の一部が重なるように、前記ハンドリングアームが左右にV字状に開いた状態で前記センサが前記基板の載置を検査してもよい。例えば、前記センサは、前記トランスファーモジュールの下部に備えられ、前記ハンドリングアームに載置した前記基板に対して光を照射して前記基板が正常に載置したか否かを確認する光センサを含んでもよい。
本発明の一実施形態において、前記プロセスチャンバは、前記複数の基板が水平方向に載置/支持され、前記基板が公転するように回転可能に備えられ、前記プロセスチャンバの内部で昇降移動するサセプタユニットと、前記サセプタユニットの上部に備えられ、前記基板に薄膜を蒸着するための蒸着ガスのうちの1種類のガスを供給する複数の噴射孔グループで定義される複数の噴射領域が形成されたガス噴射ユニットと、前記ガス噴射ユニットに備えられ、前記プロセスチャンバの内部の排気ガスを吸入して排出させる排気部と、前記サセプタユニットの下部に備えられ、前記基板および前記サセプタユニットを加熱するヒーターユニットと、前記サセプタユニットに備えられ、前記基板を載置し、前記サセプタユニットの昇降移動によって前記サセプタユニットの上部に突出するように昇降移動するリフトピンとを含んでもよい。
ここで、前記複数の噴射領域は、ソースガスを噴射する1つ以上のソース領域とパージガスを噴射する1つ以上のパージ領域からなり、前記排気部は、前記ガス噴射ユニットで前記複数の噴射領域の境界に沿って備えられ、前記プロセスチャンバ内の排気ガスを吸入して排出させる複数の排気孔グループで定義される排気ラインと、前記排気ラインと連結するように形成され、前記サセプタユニットの中央部分の排気ガスを吸入して排出させるように前記ガス噴射ユニットの中央部に形成された中央排気ブロックとを含んでもよい。そして、前記排気部は、前記各ソース領域で吸入した排気ガスを互いに異なる排気バッファを通じて排出させるように形成された複数の排気ラインを含んでもよい。また、前記排気ラインは、1つのソース領域で吸入した排気ガスが前記パージ領域で吸入した排気ガスと同じ1つの排気バッファを通じて排出されるように形成してもよい。そして、前記中央排気ブロックは、前記中央排気ブロックで吸入した排気ガスを排出させる流路が前記排気ラインの前記排気バッファと連続または分離するように形成してもよい。また、前記中央排気ブロックは、前記サセプタユニットの中央部分に対応する大きさの領域を有するように形成してもよい。また、前記中央排気ブロックは、少なくとも前記各ソース領域で吸入した排気ガスを互いに異なる流路を通じて排出させるように形成してもよい。
また、前記排気ラインは、前記複数の噴射領域を横切るように形成された補助排気ブロックをさらに含み、前記補助排気ブロックは、前記補助排気ブロックで吸入した排気ガスを排出させる流路が前記排気ラインおよび前記中央排気ブロックと連結または分離するように形成してもよい。
本発明の一実施形態において、前記リフトピンは、前記サセプタユニットを貫通して前記サセプタユニットの下部に延長するように備えられ、前記リフトピンは、前記サセプタユニットの下降時に前記リフトピンの下端部が前記ヒーターユニットに接触して前記サセプタユニット上部に突出し、前記サセプタユニットの上昇時に前記リフトピンの重さによって下降するように形成してもよい。
そして、前記ヒーターユニットは、前記サセプタユニットの下降時に前記リフトピンの下端部を収容して前記リフトピンが突出しないようにするピンガイド孔が備えられ、前記ピンガイド孔は、前記サセプタユニットの下降時に前記基板のローディング/アンローディングの位置の2枚の基板を除いた残りの基板に対応する載置位置のリフトピンを収容するように形成してもよい。すなわち、前記基板のローディング/アンローディング時に、載置位置のリフトピンは突出しないため、前記基板が前記サセプタユニットに載置した状態で維持され、ローディング位置のリフトピンは突出し、前記リフトピンに前記基板を載置してもよい。また、前記ハンドリングアームは、上下に重なった状態で前記基板を移送するように形成されるため、前記基板のローディング/アンローディング時に前記ハンドリングアームに載置した前記基板の高低差が発生し、前記基板のローディング/アンローディング位置の2枚の基板に対応するローディング位置のリフトピンは、前記基板のローディング/アンローディングの高低差に対応するように互いに異なる高さで突出するように形成してもよい。
本発明の一実施形態において、前記ヒーターユニットは、内部が密閉したハウジング内部に電源が印加されて熱を発生するワイヤ状またはフィラメント状の発熱素子が埋められて形成してもよい。ここで、前記ヒーターユニットは、前記基板に対応する位置に複数の発熱領域を形成するように、1つまたは複数の発熱素子を曲線型に配置して形成してもよい。また、前記ハウジングは、前記発熱素子から発生した熱が前記ヒーターユニットの下部に放出することを防ぐように形成してもよい。また、前記ハウジングの下部に前記発熱素子から発生した熱が前記ヒーターユニット下部に放出することを防ぐ遮蔽材を備えてもよい。
本発明によれば、複数のハンドリングアームを含むトランスファーロボットが備えられ、少なくとも2枚以上の基板を同時に移送してローディング/アンローディングするため、基板のローディング/アンローディングおよび移送時間を効率的に短縮させ、トランスファーロボットで時間の遅延が発生することを防ぐ原子層蒸着装置を提供することができる。
また、本発明によれば、バッファ部を備えることにより、ロードポートに収容された基板の数とプロセスチャンバに同時に収容される基板の数とを同一にすることによって、基板のローディング/アンローディング時に、ロードポートに一部基板が残ることを防ぎ、余分な基板の処理による工程の遅延を防ぐ原子層蒸着装置を提供することができる。
本発明の一実施形態に係る原子層蒸着装置の全体システムを示す平面図である。 本発明の実施形態に係るトランスファーロボットを示す正面図である。 図2のトランスファーロボットを示す要部斜視図である。 図1の原子層蒸着装置の断面図である。 図4のプロセスモジュールを示す要部斜視図である。 図5のプロセスモジュールにおいてガス噴射ユニットを示す平面図である。 図6のガス噴射ユニットにおいてI−I線による断面図である。 本発明の他の実施形態に係る図6のガス噴射ユニットを示す平面図である。 図8のガス噴射ユニットにおいてII−II線による断面図である。 図8のガス噴射ユニットにおいてIII−III線による断面図である。 図1の原子層蒸着装置においてトランスファーロボットがサセプタユニットに基板をローディングする動作を示す要部斜視図である。 図4のプロセスモジュールのヒーターユニットを示す斜視図である。 図12のヒーターユニットの断面図である。 図12のヒーターユニットの発熱素子パターンの一例を示す平面図である。
以下、添付の図面を参照しながら、本発明の実施形態について詳細に説明するが、本発明が実施形態によって制限または限定されるものではない。本発明を説明するにおいて、公知の機能あるいは構成に対して具体的な説明は、本発明の要旨を明瞭にするために省略することがある。
以下、図1〜14を参照しながら、本発明の一実施形態に係る原子層蒸着装置について詳細に説明する。
まず、図1を参照しながら、本発明の一実施形態に係る原子層蒸着装置の全体システムについて詳細に説明する。図1は、本発明の一実施形態に係る原子層蒸着装置を説明するための平面図である。
図1を参照すれば、原子層蒸着装置は、基板1を原子層蒸着装置にローディングおよびアンローディングするためのローディング/アンローディングモジュール(loading/unloading module)10と、原子層蒸着工程を実行するプロセスモジュール(process module)30と、ローディング/アンローディングモジュール10とプロセスモジュール30との間で基板1を移送するためのトランスファーモジュール(transfer module)20とからなる。
ここで、基板1は、シリコンウェハ(silicon wafer)であってもよいが、本発明においては、基板1は、シリコンウェハに限定されるものではない。すなわち、基板1は、液晶ディスプレイ(LCD:liquid crystal display)、プラズマディスプレイ(PDP:plasma display panel)のようなフラットパネルディスプレイに用いるガラスを含む透明基板であってもよい。また、基板1の形状および大きさが図面によって限定されるものではなく、円形および四角形プレートなど実質的に多様な形状と大きさを有していてもよい。
ローディング/アンローディングモジュール10は、基板1を原子層蒸着装置にローディング/アンローディングするための構成要素と、基板1の格納および収納のための構成要素とを備えている。例えば、ローディング/アンローディングモジュール10は、複数の基板1を収容および格納するロードポート(load port)11と、ロードポート11から基板1を引出してトランスファーモジュール20に移送する前に一時的に収納するためのロードバッファユニット12と、ロードバッファユニット12とトランスファーモジュール20との間に備えられ、基板1の移送時に原子層蒸着装置の内部が外気の流入によって急激に変化することを防ぐように減圧/加圧してバッファの役割をするロードラックユニット(load−lock unit)13とで構成されている。
ここで、ローディング/アンローディングモジュール10の各構成要素の詳細な技術構成は本発明の要旨ではないため、詳細な説明および図示は省略し、主要構成要素についてのみ説明する。
例えば、ロードポート11は、複数の基板を収容および格納してもよく、カセット(cassette)または前方開放統一ポッド(FOUP:front opening unified pod)であってもよい。また、プロセスモジュール30は、複数の基板1に対して同時に蒸着工程を実行するため、ローディング/アンローディングモジュール10は複数のロードポート11a、l1b、11cを備えてもよい。
ロードバッファユニット12は、ロードポート11から基板1を引出して、ロードラックユニット13に移送し、ロードラックユニット13から基板1をロードポート11に移送して収納してもよい。ここで、ロードバッファユニット12は、ロードポート11およびロードラックユニット13から基板1を引出/収納して移送するためのロボット(図示せず)と、基板1の引出/収納時に基板1を整列させるためのアライナ(aligner)(図示せず)とを備えている。
一方、プロセスモジュール30の内部は、原子層蒸着工程の特性上、プロセスモジュール30の内部が高真空状態で維持されるが、トランスファーモジュール20もプロセスモジュール30に基板1を移送するためにプロセスモジュール30と同程度の高真空が維持されてもよい。これにより、ローディング/アンローディングモジュール10で、基板1をローディングまたはアンローディングするとき、トランスファーモジュール20が大気側につながるトランスファーモジュール20の真空が破られることがあり、トランスファーモジュール20およびプロセスモジュール30の内部の環境が急激に変化するようになる。そして、基板1をローディング/アンローディングした後、原子層蒸着工程を実行してもよく、トランスファーモジュール20及びプロセスモジュール30の内部に高真空を形成しなければならないために工程が遅延し、不良発生の原因となってしまう。ロードラックユニット13は、ローディング/アンローディングモジュール10およびトランスファーモジュール20が選択的に伝達または密閉/遮断されるように形成され、内部の圧力がローディング/アンローディングモジュール10およびトランスファーモジュール20に適合するように、減圧/加圧されるように形成され、ローディング/アンローディングモジュール10およびトランスファーモジュール20の間でトランスファーモジュール20の真空が破壊されることを防ぎながら基板1を移送してもよい。さらに、基板1のローディング/アンローディング時に大気側につながる空間をロードラックユニット13で限定するため、加圧/減圧時に時間の遅延が発生することを防いでもよい。
トランスファーモジュール20は、同時に複数の基板1を移送されるトランスファーロボット21を備えている。トランスファーロボット21の詳細な技術構成については、図2及び3を参照しながら後述する。
プロセスモジュール30は、基板1を収容して蒸着工程を実行するプロセスチャンバ31と、プロセスチャンバ31に蒸着のための蒸着ガスを供給する蒸着ガス供給部33とを含むようにしてもよい。例えば、プロセスモジュール30は、それぞれ6枚の基板1が収容される2つのプロセスチャンバ31を備え、同時に12枚の基板1に対して、各々の蒸着工程を実行してもよい。しかしながら、本発明が図面によって限定されるものではなく、プロセスモジュール30で同時に処理することができる基板1の数と、プロセスモジュール30の形状は、実質的に多様に変更することが可能である。
一方、プロセスモジュール30で同時に蒸着工程を実行する基板1の数とロードポート11に備えられた基板1の数との間で倍数関係が成立しない場合、ロードポート11に一部の基板1が残ったり不足したりする場合が生じることがある。本発明の実施形態では、このように、基板1のローディング/アンローディング時にロードポート11及びプロセスチャンバ31の基板1の数を倍数関係で形成し、ロードポート11に一部の基板1が残ったり不足したりすることを防ぐため、バッファ部14をトランスファーモジュール20の一側に備えてもよい。
バッファ部14は、ロードポート11と同様、複数の基板1を収容および格納してもよく、トランスファーモジュール20の一側に備えられ、トランスファーロボット21がバッファ部14から基板1を引出すように形成される。また、バッファ部14は、トランスファーモジュール20と基板1との移送時にトランスファーモジュール20の真空が破壊されることを防ぐように、ロードラックユニット13と同様、選択的に内部圧の減圧/加圧が可能なように形成され、トランスファーモジュール20との連結部を選択的に密閉するように形成してもよい。
原子層蒸着装置で基板1をローディングする方法を簡略に説明すれば、次のとおりとなる。一例として、図1に示すように、プロセスモジュール30は同時に12枚の基板1を収容し、ロードポート11は25枚の基板1が格納された3つのポート11a、11b、11cを備えている。そして、トランスファーロボット21は、同時に2枚の基板1を移送し、2つのプロセスチャンバ31にそれぞれローディングする。
この場合、基板1のローディングは、まず、ロードバッファユニット12は第1〜3ポート11a、11b、11cからそれぞれ基板1を2枚ずつ引出し、ロードラックユニット13では、ロードバッファユニット12から引出した基板をそれぞれ1枚ずつトランスファーロボット21にローディングする。ここで、ローディング/アンローディングモジュール10は、2つのロードラックユニット13を備えており、それぞれ1枚の基板1をトランスファーロボット21の2つのハンドリングアーム(handling arm)211、212にそれぞれローディングし、トランスファーロボット21は、2つのプロセスチャンバ31に順に2枚ずつ同時に基板1をローディングしてもよい。
このように、ロードポート11から基板1を引出せば、各ロードポート11a、11b、11cには2枚ずつが12回引出された後に、1枚ずつの基板1が残るようになるが、残った基板1は、バッファ部14に収容された基板1を引出することにより、プロセスチャンバ31を満たしてもよい。
本発明の実施形態によれば、バッファ部14を備えることにより、プロセスモジュール30に収容される基板1の数とローディング/アンローディングモジュール10に格納された基板1の数とに互いに倍数関係が形成しないことによって、ロードポート11に基板1の余分が発生することを防ぎ、基板1を補充するために工程が遅延することを防ぎ、スループットを向上させることができる。
しかしながら、本発明が図面によって限定されるものではなく、プロセスチャンバ31に収容される基板1の数とロードポート11の数、およびバッファ部14の数と位置、バッファ部14に収容される基板1の数などは、実質的に多様に変更することができる。
以下、図2〜3を参照しながら、トランスファーモジュール20およびトランスファーロボット21について説明する。図2〜3は、図1の原子層蒸着装置のトランスファーモジュール20で基板1が正常に載置するか否かを検出する動作を説明するための図である。図2は、トランスファーロボット21を示す正面図であり、図3はトランスファーロボット21を示す要部斜視図である。
以下、説明の便宜のために、同時に2枚の基板1を移送することが可能であるトランスファーロボット21を例示して説明するが、本発明がこれによって限定されるものではなく、少なくとも2枚の複数の基板1を同時に移送することが可能であるトランスファーロボット21にも実質的に同じように適用してもよい。
図2〜3を参照すれば、トランスファーロボット21は、2枚の基板1を同時に移送するため、2つのハンドリングアーム211、212と、ハンドリングアーム211、212の直線移動、回転移動、および昇降移動が可能なように駆動する駆動アーム213、214と、駆動部215とを含んでもよい。
ハンドリングアーム211、212は、基板1の下部で基板1を保持し、移送してもよい。この場合、ハンドリングアーム211、212は、基板1を安定的に保持するように基板1の直径に対応する長さを有し、所定の幅と大きさを有してもよい。また、ハンドリングアーム211、212は、基板1の下部を安定的に支持しながら、基板1をプロセスモジュール30にローディング/アンローディングするときに、リフトピン321との干渉が発生しない形状を有してもよい。例えば、ハンドリングアーム211、212は、C字状またはコ字状の環状を有してもよい。しかしながら、トランスファーロボット21およびハンドリングアーム211、212の形状は図面によって限定されるものではなく、ハンドリングアーム211、212は、基板1の下部及びエッジを接触して支持するため、実質的に多様な形状を有してもよい。
駆動アーム213、214と駆動部215とは、ハンドリングアーム211、212の直線移動、回転移動及び昇降移動が可能なように、ハンドリングアーム211、212に連結して形成され、ハンドリングアーム211、212の駆動に必要な駆動力を供給して伝達する。
一方、ハンドリングアーム211、212は、同時に2枚の基板1を移送することが可能であるが、基板1の大きさが大きくなるほどトランスファーモジュール20およびトランスファーロボット21の大きさが大きくなり、トランスファーロボット21の動作に必要な空間が大きくなる。本発明の実施形態では、トランスファーモジュール20およびトランスファーロボット21の大きさを縮小して、ハンドリングアーム211、212が上下に所定の間隔、離隔して形成される。それによって、基板1の移送時には、図2に示すように、ハンドリングアーム211、212が上下方向に並んで重なった状態で移動し、基板1のローディング/アンローディング時には、図3に示すように、ハンドリングアーム211、212が左右に所定の角度、回転してV字状に開くように形成されてもよい。
また、トランスファーモジュール20には、トランスファーロボット21に2枚の基板1が正常に載置したか否かを確認するためのセンサ(Sensor)22を備えてもよい。ここで、基板1の移送時のように重なった状態では2枚の基板1が載置したか否かを確認することが困難であるため、センサ22で載置したか否かを検査するときには、2枚の基板1の一部だけが重なるようにハンドリングアーム211、212が左右に所定の角度、回転した状態で検査するように形成してもよい。
例えば、図2〜3に示すように、センサ22は、基板1が重なっていない部分およびハンドリングアーム211、212によって干渉が発生しない位置でハンドリングアーム211、212に載置した基板1に向かって光を照射する位置に2つの光センサを備えてもよい。ここで、センサ22から光を照射したとき、2枚の基板1のうちのいずれか1枚でも正常に載置していない場合には、センサ制御部221から異常信号を発生させて工程を中断することができる。
しかしながら、本発明の実施形態が図面によって限定されるものではなく、センサ22の位置と数は実質的に多様に変更してもよい。また、センサ22は、光センサの他にも、基板1が正常に載置したか否かを確認する実質的に多様な手段を用いてもよい。
以下、図4〜14を参照しながら、プロセスモジュール30について詳細に説明する。
まず、図4及び5を参照しながら、プロセスモジュール30について説明する。図4は、図1の原子層蒸着装置におけるプロセスモジュール30とトランスファーモジュール20との一部断面図であり、図5は、図4のプロセスモジュール30を示す要部斜視図である。
プロセスモジュール30は、6枚の基板1を収容して蒸着工程を実行する2つのプロセスチャンバ31を含み、プロセスチャンバ31内部には、基板1を載置するサセプタユニット320と、基板1に蒸着ガスを供給するガス噴射ユニット310と、蒸着工程のため、基板1及びアセプタユニット320に熱を加えるヒーターユニット330とが備えられる。ここで、プロセスモジュール30の詳細な技術構成は、本発明の要旨ではないため、詳しい説明および図示は省略し、主要構成要素についてのみ説明する。
一方、図4において、符号301は、基板1のローディング/アンローディング時にトランスファーロボット21が出入りするための出入口301であり、符号302は、出入口301を開閉するためのドア部302である。すなわち、図4に示すように、基板1のローディング/アンローディング時にはドア部302によって出入口301が開放され、トランスファーロボット21の出入りが可能となり、蒸着工程の実行中にはドア部302が出入口301を閉鎖してプロセスチャンバ31を密閉させる。
ガス噴射ユニット310は、プロセスチャンバ31の上部に備えられ、サセプタユニット320に支持された基板1に蒸着ガスを供給する。
ここで、原子層蒸着方法は、薄膜を形成するためのソース物質が含まれた互いに異なる種類の蒸着ガスが基板1の表面で反応することによって所定の薄膜が形成されるが、本発明の実施形態では、ガス噴射ユニット310が固定され、サセプタユニット320がガス噴射ユニット310に対して、平行に回転可能なように備えられる。そして、ガス噴射ユニット310は、サセプタユニット320の回転によって、基板1に蒸着ガスを順に提供できるように蒸着ガスをそれぞれ噴射する複数の噴射領域400(図6を参照)を含み、プロセスチャンバ31の内部で排気ガスを吸入して排出させる排気部350が備えられる。
参考までに、本発明において、蒸着ガスとは、薄膜を蒸着する工程で用いられるガスを意味し、基板1に蒸着しようとする薄膜を構成するソース物質を含む少なくとも1種類以上のソースガスと、ソースガスを基板1から除去する少なくとも1種類のパージガスとを含む。本発明の実施形態では、互いに化学的に反応して薄膜を形成する2種類のソースガスと、ソースガスをパージするための少なくとも1種類のパージガスとを用いてもよい。例えば、シリコン薄膜を蒸着するために、第1ソースガス(S1)は、シリコンを含むシラン(Silane、SiH)またはジシラン(Disilane、Si)、四フッ化ケイ素(SiF)、有機金属化合物(metal organic chemical)ソースのうちのいずれか1つのガスを用い、第2ソースガス(S2)は、酸素(O)やオゾン(O)、およびプラズマ(plasma)によって分解された反応性ガスを用いてもよい。さらに、パージガス(PG)は、第1および第2ソースガス(S1)、(S2)、または基板1上に蒸着した薄膜と化学的に反応しない安定したガスが用いられ、例えば、アルゴン(Ar)や窒素(N)、ヘリウム(He)のうちのいずれか1つのガスまたは2つ以上が混合したガスを用いてもよい。しかしながら、本発明がこれに限定されるものではなく、蒸着ガスの数と種類は実質的に多様に変更してもよい。
次に、図6〜10を参照しながら、ガス噴射ユニット310について説明する。図6は、図5のプロセスモジュール30におけるガス噴射ユニット310を示す平面図であり、図7は、図6のガス噴射ユニット310におけるI−I線による断面図である。そして、図8は、図6のガス噴射ユニット310の変形実施形態を示す平面図であり、図9は、図8のガス噴射ユニット310においてII−II線による断面図であり、図10は、図8のガス噴射ユニット310においてIII−III線による断面図である。
例えば、図6に示すように、ガス噴射ユニット310の複数の噴射領域400は、4種類の蒸着ガスをそれぞれ噴射する噴射領域400が扇形で形成され、基板1の移動方向に沿って、第1ソースガスを噴射する第1ソース領域410と、パージガスを噴射する第1パージ領域430と、第2ソースガスを噴射する第2ソース領域420と、パージガスを噴射する第2パージ領域440とからなる。
ガス噴射ユニット310には、蒸着ガスが噴射される複数の噴射孔311と、複数の噴射孔311に蒸着ガスが供給される流路となる噴射バッファ312とを備えている。また、噴射バッファ312の一側には、噴射バッファ312に蒸着ガスを供給する蒸着ガス供給部33を備えてもよい。例えば、蒸着ガス供給部33は、第1ソースガス(S1)を供給する第1供給源33aと、第2ソースガス(S2)を供給する第2供給源33bと、パージガスPGを供給する第3供給源33cとを備えている。
ここで、ガス噴射ユニット310は、基板1に対して均一に蒸着ガスを供給するように、複数の噴射孔311が所定のパターンに従って形成してもよい。また、複数の噴射孔311は、噴射した微量の蒸着ガスがサセプタユニット320の回転による基板1の移動時に、基板1に対して直線状に接触して基板1の全面を覆うように接触して形成されてもよい。例えば、複数の噴射孔311は、基板1の移動方向に対して、略垂直に、すなわち直線状に配置され、基板1と複数の噴射孔311から噴射した蒸着ガスが直線状に交差するため、基板1の表面で蒸着ガスと接触する時間を一定に維持させてもよい。しかしながら、本発明が図面によって限定されるものではなく、複数の噴射孔311の大きさと形状および配置された形態は、実質的に多様に変更してもよい。
ガス噴射ユニット310には、基板1にそれぞれ1種類の蒸着ガスを噴射する複数の噴射孔311のビア(via)で定義される噴射領域400が形成され、蒸着ガスの数によって複数の噴射領域400が形成される。例えば、噴射領域400は、第1ソースガスS1と第2ソースガスS2をそれぞれ噴射する第1ソース領域410と第2ソース領域420と、第1ソース領域410および第2ソース領域420のそれぞれ間に配置され、パージガスPGを噴射する2つのパージ領域430、440とに区画される。そして、図6に点線で示すように、複数の噴射領域400は、ガス噴射ユニット310を略4つに区画する扇状で形成してもよい。
ガス噴射ユニット310には、プロセスチャンバ31内の排気ガスを吸入して排出させるための排出ユニット35が連結し、プロセスチャンバ31内部の排気ガスを基板1上部に吸入して、外部に排出させる排気部350が備えられる。排気部350は、プロセスチャンバ31内部の排気ガスを吸入する複数の排気孔351からなる複数の排気ライン511、512と、複数の排気孔351で吸入した排気ガスの流路となる排気バッファ352とを含む。
ここで、排気ライン511、512は、複数の噴射領域400の境界に沿って形成された複数の排気孔351のビアで定義され、複数の排気孔351を通じて排気ガスを吸入して排出させると同時に、噴射領域400の境界に沿って備えられて複数の噴射領域400を区画する役割を有する。例えば、排気部350は、各噴射領域400の境界に沿って備えられ、ガス噴射ユニット310を略4つの領域に区画するように、U字状またはコ字状の2つの排気ライン511、512を備えてもよい。ここで、排気部350は、ソース領域410、420の面積を最大限に確保するが、ソース領域410、420の間でソースガスが混合することを防ぐ物理的障壁の役割をするように、2つのV字状の排気ライン511、512が水平に対称をなし、互いに対向するようにV字状の頂点がガス噴射ユニット310の中心部に位置するように形成してもよい。
一方、噴射孔311の大きさや個数および配置形状は、図面によって限定されるものではなく、基板1に均一に蒸着ガスを噴射するように、実質的に多様な形態で配置されてもよい。また、複数の噴射孔311は、円形状またはスリット状を有してもよい。同様に、排気孔351の大きさや個数および配置形状は、図面によって限定されるものではなく、実質的に多様に変更してもよい。
特に、排気部350は、複数の噴射領域400の形状的な特性上、ガス噴射ユニット310の中央部で互いに隣接するように、第1および第2ソース領域410、420の間でソースガスS1、S2が互いに混合することを防ぐことができるように排気ライン511、512を形成してもよい。以下では、排気部350においてガス噴射ユニット310の中央部で、それぞれの排気ライン511、512が互いに隣接するように形成された部分を中央排気ブロック515、516とし、図6および図8において四角形の点線で示した。
ここで、中央排気ブロック515は、排気ライン511、512のうちでガス噴射ユニット310の中央部分に形成された部分で定義され、排気ライン511、512と連続して形成してもよい。しかしながら、上述した本発明の実施形態とは異なり、中央排気ブロック515を排気ライン511、512と独立した排気バッファ352を有するように形成してもよい。ここで、排気ライン511、512と中央排気ブロック515との間が連続して形成されるとは、排気ライン511、512で吸入した排気ガスが排出されるバッファと中央排気ブロック515で吸入した排気ガスが排出されるバッファとが連続するように形成されることをいう。これだけでなく、図6や図8に示すように、排気ライン511、512と中央排気ブロック515が連続的な形状を有する実施形態も含む。
一方、排気ガス内には未反応ソース物質が含まれているので、第1ソース領域410と第2ソース領域420で吸入される排気ガスが排気バッファ352内部で互いに混合すれば反応してパーティクルが発生することがある。したがって、第1および第2ソース領域410、420では、互いに独立的な流路を有する排気ライン511、512を通じて排気ガスを排出させるように形成しなければならない。しかしながら、パージガスPGは、第1および第2ガスS1、S2と化学的に反応しないため、パージ領域430、440では第1ソース領域410または第2ソース領域420と同じ排気ライン511、512を用いて排気ガスを排出させてもよい。本発明の実施形態では、第1ソース領域410とパージ領域430、440で排気ガスを吸入するように形成された第1排気ライン511と、第2ソース領域420およびパージ領域430、440で排気ガスを吸入するように形成された第2排気ライン512とからなる。また、図7に示すように、中央排気ブロック515も、第1ソース領域410と第2ソース領域420で吸入される排気ガスが互いに混合しないように中央排気ブロック516の内部で排気バッファ352a、352bを区画し、形成してもよい。
ここで、複数の噴射領域400およびガス噴射ユニット310の形状的な特性により、ガス噴射ユニット310の中央部では、第1ソース領域410と第2ソース領域420とが互いに隣接してもよい。しかしながら、本発明の実施形態によれば、ガス噴射ユニット310の中央部に中央排気ブロック515を形成することにより、ガス噴射ユニット310の中央部で第1および第2ソース領域410、420の間に中央排気ブロック515による物理的な障壁が形成され、第1および第2ガスS1、S2の流入および混合を防止する。
一方、図5に示すように、サセプタユニット320が高速で回転すれば、サセプタユニット320上の排気ガスがサセプタユニット320の中央部分(CA)に流入する過程において、排気ガスの渦流とこれによる停滞現象が発生するようになる。ここで、中央部分CAは、サセプタユニット320の中心付近で排気ガスが流入しながら渦流が発生する領域に定義され、略サセプタユニット320において基板1が載置されない領域をほぼ意味する。
中央排気ブロック515は、このように排気ガスの渦流および停滞現象が発生するサセプタユニット320の中央部分CAの排気量を増加させることにより、中央部分CAに排気ガスの渦流および停滞が発生することを防止する。
詳細には、複数の中央排気ブロック515は、サセプタユニット320の中央部分(CA)に対応するガス噴射ユニット310の中央部に複数の排気孔351が形成され、サセプタユニット320の中央部分(CA)からの排気ガスの排気量を増加させる。また、2つの排気ライン511、512は、複数の噴射領域400の境界に沿って形成され、ガス噴射ユニット310の中央部で互いに隣接し、中央排気ブロック515は、サセプタユニット320の中央部分(CA)に対応する領域全体に複数の排気孔351が配置されるように形成されてもよい。例えば、中央排気ブロック515は、図6または図8に示すように、ガス噴射ユニット310の中央部で所定の長さを有する直線状に形成される。また、中央排気ブロック515は、サセプタユニット320の中央部分(CA)の大きさに対応するように、排気ライン511、512よりも拡張した形状を有してもよい。
しかしながら、本発明が図面によって限定されるものではなく、排気ライン511、512および中央排気ブロック515の形状と位置とは、実質的に多様に変更してもよい。
一方、排気ライン511、512の排気量を増加させ、排気ライン511、512の面積および複数の排気孔351の数を増加させてもよい。
図8〜10は、上述した実施形態を変形した実施形態であり、排気ライン511、512の面積を増加させたガス噴射ユニット310の一例を示している。以下で説明する実施形態は、上述した実施形態と排気ライン511、512の形態を除いては実質的に同じであり、同じ構成要素については同じ名称および符号を用い、重複する説明は省略する。
図8〜10に示すように、排気部350は、複数の噴射領域400の境界に沿って形成された排気ライン511、512と、ガス噴射ユニット310の中央部に形成され、サセプタユニット320の中央部分(CA)の排気ガスを吸入して排出する中央排気ブロック516とを含む。
また、排気部350は、ガス噴射ユニット310を約4つの領域に区画するU字状またはコ字状の2つの排気ライン511、512と、ガス噴射ユニット310の直径方向に沿ってガス噴射ユニット310を横切るように形成された2つの補助排気ブロック513、514とを含んでもよい。そして、2つの排気ライン511、512は、第1ソース領域410及び第2ソース領域420で吸入した排気ガスが混合することを防ぐように2つの排気ライン511、512が形成され、2つの補助排気ブロック513、514は、パージ領域430、440を横切るように形成されてもよい。
ここで、パージガスPGの噴射圧力が高い場合には、第1および第2ガスS1、S2が一方向に重くなり、基板1に蒸着する膜の厚さが不均一に形成されることがある。補助排気ブロック513、514は、パージ領域430、440に形成され、パージガス(PG)の噴射圧力を調節してもよい。
一方、図8において説明されていない領域431、432、441、442は、補助排気ブロック513、514によって、パージ領域430、440がそれぞれ区画された領域を示す。
排気ライン511、512と補助排気ブロック513、514とは、連続するように形成されてもよいし、互いに独立して形成されてもよい。ただし、排気ライン511、512と補助排気ブロック513、514とは、第1ソース領域410及び第2ソース領域420で吸入した排気ガスの混合を防ぐように形成されてもよい。
ガス噴射ユニット310の中央部には、複数の排気孔351が稠密に配置され、サセプタユニット320の中央部分(CA)の排気ガスを吸入するための中央排気ブロック516が形成されている。中央排気ブロック516は、排気ライン511、512および補助排気ブロック513、514と連通するように形成されてもよいし、互いに独立するように形成されてもよい。ただし、少なくとも2つの中央排気ブロック516は、第1ソース領域410と第2ソース領域420で吸入した排気ガスの混合を防ぐように2つ以上の中央排気ブロック516が形成され、図10に示すように、2つの中央排気ブロック516は、互いに独立した流路を形成する独立した排気バッファ352a、352bを含んでもよい。
次に、図11〜14を参照しながら、本発明の実施形態に係るサセプタユニット320およびヒーターユニット330について説明する。図11は、図1の原子層蒸着装置においてトランスファーロボット21がサセプタユニット320に基板をローディングする動作を示す要部斜視図であり、図12は、図4のプロセスモジュール30においてヒーターユニット330を示す斜視図であり、図13は、図12のヒーターユニット330の断面図であり、図14は、図12のヒーターユニット330における発熱素子333パターンの一例を示す平面図である。
サセプタユニット320は、スループット(throughput)が優れたセミバッチ(semi−batch)タイプであり、複数の基板1をサセプタユニット320の上面に水平に載置するが、サセプタユニット320の円周方向に沿って放射状に配置される。例えば、サセプタユニット320は、6枚の基板1が互いに所定の間隔離隔して載置され、サセプタユニット320が回転することによってサセプタユニット320の中心点を基準として基板1が公転する。そして、サセプタユニット320の下部には、サセプタユニット320の回転および基板1のローディング/アンローディング時のサセプタユニット320の昇降移動のための駆動軸325を備えてもよい。
サセプタユニット320には、基板1が載置される位置に複数のリフトピン321が備えられる。例えば、1枚の基板1は、3つのリフトピン321によって三角形の形態で3点が支持され、サセプタユニット320で基板1が載置される位置ごとに3つずつのリフトピン321を備えてもよい。
基板1は、トランスファーロボット21によってサセプタユニット320の表面上に載置されるのではなく、図11に示すように、基板1のローディング時に複数のリフトピン321がサセプタユニット320の表面上から所定の高さが突出して一次的にリフトピン321に載置した後、複数のリフトピン321の下降によってサセプタユニット320の表面上に載置される。
複数のリフトピン321は、サセプタユニット320を貫通して形成され、サセプタユニット320の昇降移動によって昇降移動するように備えられてもよい。
詳細には、図4に示すように、基板1のローディング/アンローディング時にはサセプタユニット320の下部に、ヒーターユニット330と近接した位置まで下降する。リフトピン321は、サセプタユニット320を貫通して下部まで一定の長さが延長するように備えられ、サセプタユニット320の下降時にヒーターユニット330の上面にリフトピン321の下端部が接触してリフトピン321の移動が制限されながら、リフトピン321がサセプタユニット320の上部に所定の高さが突出してもよい。そして、蒸着工程のためにサセプタユニット320が上昇すれば、リフトピン321の自重によってリフトピン321が下部に移動するようになり、基板1がサセプタユニット320の表面に載置してもよい。ここで、リフトピン321がサセプタユニット320の下部に落下することを防ぐようにリフトピン321の上端部がサセプタユニット320のピンガイド孔331で係止されるように、リフトピン321の上端部の一部がピンガイド孔331の直径よりも大きく拡張した形状を有してもよい。
一方、サセプタユニット320上で、基板1のローディング/アンローディング時に、すべてのリフトピン321が昇降移動する場合、既にローディングされた基板1がリフトピン321の移動によって位置離脱/落下する事故が発生することがあるため、基板1がローディング/アンローディングされる位置の2枚の基板1に対してのみリフトピン321が上昇するようにしてもよい。すなわち、リフトピン321の昇降移動は、サセプタユニット320の昇降移動時にヒーターユニット330と接触するか否かによって行われるため、ヒーターユニット330にはリフトピン321が昇降移動しないようにヒーターユニット330を貫通してピンガイド孔331を含んでもよい。ここで、説明の便宜のために、出入口301でトランスファーロボット21によってローディング/アンローディングされる位置の2枚の基板1に対応する位置をローディング位置332aとし、残りの4枚の基板1に対応する位置を載置位置332bとする。図12に示すように、ヒーターユニット330において、ローディング位置332aには、リフトピン321が突出して、ピンガイド孔331が形成されない反面、残りの載置位置332bにはピンガイド孔331が形成されており、サセプタユニット320の下降時にリフトピン321の下端部がピンガイド孔331を通じて下部に移動してもよく、リフトピン321が突出しないようにする。
トランスファーロボット21は、基板1を2枚ずつローディング/アンローディングし、サセプタユニット320上に、6枚の基板1を載置して、サセプタユニット320は、120度の間隔で回転することによって基板1を2枚ずつローディング/アンローディングしてもよい。ここで、リフトピン321がヒーターユニット330のピンガイド孔331に収容された状態で、サセプタユニット320が回転する場合、リフトピン321、サセプタユニット320及びヒーターユニット330などが損傷することがあるため、サセプタユニット320の回転時にはリフトピン321がピンガイド孔331から完全に離れるようにサセプタユニット320が上昇した状態で回転し、ローディング位置332aのリフトピン322は突出して基板1のローディング/アンローディングが可能であり、残りの載置位置332bのリフトピン321はピンガイド孔331に収容されて基板1が載置した状態を維持させる。
また、トランスファーロボット21のハンドリングアーム211、212の高低差により、2枚の基板1が互いに異なる高さでローディング/アンローディングされるため、ローディング位置332aのリフトピン321もローディングされる基板1の高さに適合するように、リフトピン321は互いに高さが異なるように突出してもよい。
一方、トランスファーロボット21は、同時に2枚の基板1をサセプタユニット320にローディングするが、基板1を安定的にローディング/アンローディングするように、リフトピン321は所定のパターンに従って配置してもよい。例えば、ハンドリングアーム211、212がリフトピン321を形成する三角形の底辺に対して垂直に移動させるようにリフトピン321を配置することができる。また、同時にローディング/アンローディングされる2枚の基板1がローディング/アンローディング時またはハンドリングアーム211、212の出入時に基板1が互いに衝突したり干渉が発生したりすることを防ぐように、2つのローディング位置332aに備えられたリフトピン321は所定の形状で配置されてもよい。例えば、ローディング位置332aの6つのリフトピン321は、正三角形状または二等辺三角形状にそれぞれ備えられ、6つのリフトピン321が形成する2つの三角形の底辺が所定の仮想直線(図12において1点鎖線で図示)上に配置されるように形成されてもよい。
ヒーターユニット330は、サセプタユニット320の下部に備えられ、蒸着工程に必要な温度でサセプタユニット320および基板1を加熱する。
ヒーターユニット330は、図13および図14に示すように、電源が印加されたとき熱を発生させる発熱素子333がヒーターユニット330のハウジング335に埋められてもよい。発熱素子333がハウジング335内部に埋められた形状を有するため、蒸着工程の間、ヒーターユニット330が蒸着ガスによって、露出されて酸化/損傷し、これによってヒーターユニット330の寿命が短縮したりヒーターユニット330に不純物が蒸着したりすることによって温度分布が不均一になることを防ぐ。ここで、ヒーターユニット330は、ハウジング335の内部に蒸着ガスが流入することを遮断し、発熱素子333で発生した熱がサセプタユニット320に効率的に伝達されるように、ハウジング335内部は真空または高真空状態で維持される。
ハウジング335は、発熱素子333から放出される熱をサセプタユニット320に効率的に伝達するが、ヒーターユニット330の下部には熱の伝達を遮断するように形成されてもよい。例えば、ハウジング335は、発熱素子333から発生する熱によって変形が発生せずに化学的に安定した材質で形成される。例えば、ハウジング335は、チッ化物セラミックや炭化物セラミック、またはグラファイト(graphite)材質で形成することができる。そして、ハウジング335の下部、すなわち、ハウジング335からヒーターユニット330の下部に向かう部分には、発熱素子333から発生した熱がヒーターユニット330の下部に伝達することを遮断するための遮蔽材(図示せず)を備えてもよい。ここで、ハウジング335の下部に遮蔽材を備えたりハウジング335の下部材質を熱遮蔽が可能な材質で形成したりしてもよく、発熱素子333から発生した熱によって、ヒーターユニット330の下部に備えられた構造物が熱変形することを防ぎ、発熱素子333から発生した熱をヒーターユニット330の上部、すなわち、サセプタユニット320側にのみ放出するように方向を制限してヒーターユニット330の熱伝達効率を向上させる。
発熱素子333は、電源が印加されれば熱を発生させる所定の抵抗性加熱素子であってもよく、発熱素子333はハウジング335内部で基板1を均一に加熱するように所定の形状で配置されるため、ワイヤ状であってもよい。例えば、発熱素子333は、フィラメント、コイル、またはカーボンワイヤなどのいずれかを含んでもよい。
発熱素子333は、基板1の温度を一定に加熱および維持するように、任意の曲線状に配置されてもよい。また、発熱素子333は、ヒーターユニット330上に配置されるが、基板1の温度をより精密かつ均一に加熱して維持するように、図14に示すように、各基板1に対応する位置にそれぞれ備えて複数(例えば、6つ)の発熱領域を形成してもよい。図14には、発熱素子333のパターンおよび配置形式の一例を示している。図14に示すように、各基板1に対応する領域にそれぞれ所定の曲線形状で配置された6つの発熱素子333を備えてもよい。ここで、ヒーターユニット330は、独立した6つの発熱素子333を備え、6つの発熱領域を形成してもよい。この場合、6つの領域がそれぞれの発熱素子333によって加熱されるため、各領域における温度を均一に加熱して、温度分布を均一に維持させてもよい。さらに、各発熱素子333に印加された電源を調節して部分的に発熱素子333の温度を制御してもよい。
ここで、発熱素子333は、変形が自由なワイヤ状を有して、ヒーターユニット330内部の構造物およびピンガイド孔331などと干渉が発生しないように構造物を回避して基板1を一定に加熱することができる形状で自由に形成することが可能である。
一方、発熱素子333は、電源供給部(図示せず)との連結のための端子部(図示せず)を備えなければならないが、発熱素子333はこのような端子部の数を最小化するように形成されてもよい。
しかしながら、本発明が図面によって限定されるものではなく、発熱素子333は所定の曲線状や任意の自由曲線状を有してもよく、この他にも螺旋形状、同心円形状、直線状など実質的に多様な形状を有することは勿論である。
上述したように、本発明の好ましい実施形態を参照して説明したが、該当の技術分野における当業者にとっては、特許請求の範囲に記載された本発明の思想および領域から逸脱しない範囲内で、本発明を多様に修正および変更させることができることを理解することができるであろう。すなわち、本発明の技術的範囲は、特許請求の範囲に基づいて定められ、発明を実施するための最良の形態により制限されるものではない。
1:基板
10:ローディング/アンローディングモジュール
11、11a、11b、11c:ロードポート
14:バッファ部
20:トランスファーモジュール
21:トランスファーロボット
22:センサ
30:プロセスモジュール
31:プロセスチャンバ
33、33a、33b、33c:蒸着ガス供給部
35:排気ガス排出部
211、212:ハンドリングアーム
213、214:駆動アーム
215:駆動部
221:センサ制御部
301:出入口
302:ドア部
310:ガス噴射ユニット
311:噴射孔
312:噴射バッファ
320:サセプタユニット
321:リフトピン
322、331:ピンガイド孔
325:駆動軸
330:ヒーターユニット
332a、332b:基板位置
333:発熱素子
335:ハウジング
350:排気部
351:排気孔
352、352a、352b:排気バッファ
400:複数の噴射領域
410、420:ソース領域
430、431、432、440、441、442:パージ領域
511、512:排気ライン
513、514:補助排気ブロック
515、516:中央排気ブロック

Claims (17)

  1. 基板をローディングおよびアンローディングするローディング/アンローディングモジュールと、
    複数の基板を同時に収容して蒸着工程を実行する複数のプロセスチャンバを備え、前記複数のプロセスチャンバの内部の排気ガスを吸入して、前記複数のプロセスチャンバの上部に排出させる排気部を有するガス噴射ユニットが備えられるプロセスモジュールと、
    前記ローディング/アンローディングモジュールと前記プロセスモジュールの間に備えられ、前記複数の基板を同時に保持して移送するトランスファーロボットを備えるトランスファーモジュールと、を備え、
    前記トランスファーロボットは、1枚の基板をそれぞれ保持するため、前記基板の中心を横切って所定の幅の間隔を有するC字状又はコ字状の形状を有する先端部を有する複数のハンドリングアームを含み、前記ハンドリングアームの直線移動、回転移動及び昇降移動をさせる駆動アーム及び駆動部を含み、前記駆動アーム及び前記駆動部が前記ハンドリングアームを回転可能に支持し、前記駆動アーム及び前記駆動部が前記ハンドリングアームの駆動に必要な駆動力を供給して伝達し、
    前記ローディング/アンローディングモジュールは、前記複数の基板が格納されたロードポートおよびバッファ部を備え、
    前記バッファ部は、前記トランスファーモジュールの前記ロードポートが連結されていない側部に連結して備えられ、前記基板を収容および格納し、前記ロードポートに格納された基板の数と前記プロセスチャンバに収容される基板の数とが倍数関係になるように、前記基板のローディング時に不足した数の基板を補充することを特徴とする原子層蒸着装置。
  2. 前記バッファ部は、前記トランスファーモジュールの一側に備えられ、前記トランスファーモジュールに前記基板を引出するときに前記トランスファーモジュールの真空が破壊されないように、前記バッファ部の内部圧力を選択的に減圧または加圧されることを特徴とする請求項1に記載の原子層蒸着装置。
  3. 前記基板の移送時に、前記ハンドリングアームが上下方向に重なり、前記プロセスチャンバに前記基板をローディングおよびアンローディングするときに、前記ハンドリングアームが左右にV字状に開くことを特徴とする請求項1に記載の原子層蒸着装置。
  4. 前記トランスファーモジュールは、前記トランスファーロボットに前記基板の載置を検査するセンサを備え、前記基板の一部が重なるように前記ハンドリングアームが左右にV字状態に開いた状態で前記センサが前記基板の載置を検査することを特徴とする請求項1に記載の原子層蒸着装置。
  5. 前記プロセスチャンバは、
    前記複数の基板が水平方向に載置支持及び回転可能に備えられ、前記プロセスチャンバ内部で昇降移動するサセプタユニットと、
    前記サセプタユニットの上部に備えられ、前記基板に薄膜を蒸着するための蒸着ガスのうち1種類のガスを供給する複数の噴射孔グループで定義され、ソースガスを噴射する1つ以上のソース領域とパージガスを噴射する1つ以上のパージ領域を含む複数の噴射領域が形成されたガス噴射ユニットと、
    前記ガス噴射ユニットに備えられ、前記プロセスチャンバ内部の排気ガスを吸入して排出させる排気部と、
    前記サセプタユニットの下部に備えられ、前記基板および前記サセプタユニットを加熱するヒーターユニットと、
    前記サセプタユニットに備えられ、前記基板が載置され、前記サセプタユニットの昇降移動によって前記サセプタユニットの上部に突出するように昇降移動するリフトピンと、
    を含むことを特徴とする請求項1に記載の原子層蒸着装置。
  6. 前記排気部は、
    前記ガス噴射ユニットで前記複数の噴射領域の境界に沿って備えられ、前記プロセスチャンバ内の排気ガスを吸入して排出させる複数の排気孔グループで定義される排気ラインと、
    前記排気ラインと連結するように形成され、前記サセプタユニットの中央部分の排気ガスを吸入して排出させるように前記ガス噴射ユニットの中央部に形成された中央排気ブロックと、
    を含むことを特徴とする請求項5に記載の原子層蒸着装置。
  7. 前記排気部は、前記各ソース領域で吸入された排気ガスを互いに異なる排気バッファを通じて排出させるように形成された少なくとも2つ以上の排気ラインを含むことを特徴とする請求項6に記載の原子層蒸着装置。
  8. 前記排気ラインは、1つのソース領域で吸入された排気ガスが前記パージ領域で吸入された排気ガスと同じ1つの排気バッファを通じて排出されるように形成されることを特徴とする請求項7に記載の原子層蒸着装置。
  9. 前記中央排気ブロックは、前記中央排気ブロックで吸入された排気ガスを排出させる流路が前記排気ラインの前記排気バッファと連続または分離するように形成されることを特徴とする請求項6に記載の原子層蒸着装置。
  10. 前記中央排気ブロックは、前記サセプタユニットの中央部分に対応する大きさの領域を有するように形成されることを特徴とする請求項6に記載の原子層蒸着装置。
  11. 前記中央排気ブロックは、少なくとも前記各ソース領域で吸入された排気ガスが互いに異なる流路を通じて排出させるように形成されることを特徴とする請求項6に記載の原子層蒸着装置。
  12. 前記排気ラインは、前記複数の噴射領域を横切るように形成された補助排気ブロックをさらに含み、
    前記補助排気ブロックは、前記補助排気ブロックで吸入した排気ガスを排出させる流路が前記排気ラインおよび前記中央排気ブロックと連結または分離するように形成されることを特徴とする請求項6に記載の原子層蒸着装置。
  13. 前記リフトピンは、前記サセプタユニットを貫通して前記サセプタユニット下部に延長するように備えられ、前記リフトピンは、前記サセプタユニットの下降時に前記リフトピンの下端部が前記ヒーターユニットに接触して前記サセプタユニット上部に突出し、前記サセプタユニットの上昇時に前記リフトピンの重さによって下降することを特徴とする請求項5に記載の原子層蒸着装置。
  14. 前記ヒーターユニットは、前記サセプタユニットの下降時に前記リフトピンの下端部を収容して前記リフトピンが突出しないようにするピンガイド孔が備えられ、前記ピンガイド孔は、前記サセプタユニットの下降時に前記基板のローディングおよびアンローディングのための2枚の基板を除いた残りの基板に対応する載置位置のリフトピンを収容するように形成されることを特徴とする請求項13に記載の原子層蒸着装置。
  15. 前記基板のローディングおよびアンローディングのための2枚の基板に対応するローディング位置のリフトピンは、前記基板のローディングおよびアンローディング時の高低差に対応するように互いに異なる高さで突出されることを特徴とする請求項5に記載の原子層蒸着装置。
  16. 前記ヒーターユニットは、内部が密閉したハウジング内部に電源が印加されれば熱を発生させるワイヤ状またはフィラメント状の発熱素子が埋められて形成されることを特徴とする請求項5に記載の原子層蒸着装置。
  17. 前記ヒーターユニットは、前記基板に対応する位置に複数の発熱領域を形成するように1つまたは複数の発熱素子が曲線型に配置されることを特徴とする請求項16に記載の原子層蒸着装置。
JP2009298157A 2008-12-29 2009-12-28 原子層蒸着装置 Active JP5295095B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR1020080135963A KR101046612B1 (ko) 2008-12-29 2008-12-29 원자층 증착장치
KR10-2008-0135963 2008-12-29
KR10-2009-0047519 2009-05-29
KR1020090047519A KR101135853B1 (ko) 2009-05-29 2009-05-29 원자층 증착장치

Publications (2)

Publication Number Publication Date
JP2010157736A JP2010157736A (ja) 2010-07-15
JP5295095B2 true JP5295095B2 (ja) 2013-09-18

Family

ID=42353116

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009298157A Active JP5295095B2 (ja) 2008-12-29 2009-12-28 原子層蒸着装置

Country Status (4)

Country Link
US (1) US8968476B2 (ja)
JP (1) JP5295095B2 (ja)
CN (1) CN101768731B (ja)
TW (1) TWI465599B (ja)

Families Citing this family (366)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101205433B1 (ko) * 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
CN103221022A (zh) 2010-11-18 2013-07-24 宝洁公司 基于n-酰基氨基酸化合物和己基癸醇的化妆品组合物
JP5815728B2 (ja) 2010-11-19 2015-11-17 ザ プロクター アンド ギャンブルカンパニー トリプシン活性を阻害又は低減するための化粧品組成物及び方法
US20120225207A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8840726B2 (en) * 2011-06-08 2014-09-23 Asm Technology Singapore Pte Ltd Apparatus for thin-film deposition
US9793148B2 (en) * 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101363265B1 (ko) * 2011-06-30 2014-02-13 세메스 주식회사 기판처리장치 및 초임계유체 배출방법
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101147192B1 (ko) * 2011-11-11 2012-05-25 주식회사 엘에스테크 웨이퍼 표면상의 증착 이물 제거 장치
CN102560424A (zh) * 2012-01-16 2012-07-11 姜谦 一种真空多腔原子层沉积设备
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
US20130192761A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Rotary Substrate Processing System
JP5882777B2 (ja) 2012-02-14 2016-03-09 東京エレクトロン株式会社 成膜装置
GB201206096D0 (en) * 2012-04-05 2012-05-16 Dyson Technology Ltd Atomic layer deposition
CN102644063A (zh) * 2012-04-20 2012-08-22 北京七星华创电子股份有限公司 用于实现原子层沉积工艺的设备
JP5947138B2 (ja) 2012-07-25 2016-07-06 東京エレクトロン株式会社 成膜装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6027837B2 (ja) * 2012-09-27 2016-11-16 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5876398B2 (ja) * 2012-10-18 2016-03-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9511144B2 (en) 2013-03-14 2016-12-06 The Proctor & Gamble Company Cosmetic compositions and methods providing enhanced penetration of skin care actives
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
KR102075528B1 (ko) * 2013-05-16 2020-03-03 삼성디스플레이 주식회사 증착장치, 유기발광 디스플레이 장치 제조방법 및 유기발광 디스플레이 장치
KR102164707B1 (ko) * 2013-08-14 2020-10-13 삼성디스플레이 주식회사 원자층 증착 방법 및 원자층 증착 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104746048A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
CN104746047A (zh) * 2013-12-31 2015-07-01 丽佳达普株式会社 原子层沉积装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2017157577A (ja) * 2014-06-17 2017-09-07 古河機械金属株式会社 気相成長装置および成膜方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102297567B1 (ko) * 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
CN112111729A (zh) * 2014-09-04 2020-12-22 沈阳拓荆科技有限公司 原子层沉积设备
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
DE112015004190B4 (de) 2014-11-26 2024-05-29 VON ARDENNE Asset GmbH & Co. KG Substrathaltevorrichtung, Substrattransportvorrichtung, Prozessieranordnung und Verfahren zum Prozessieren eines Substrats
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TWI676709B (zh) 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
KR102638572B1 (ko) * 2015-06-17 2024-02-21 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버 내의 가스 제어
TWI723997B (zh) 2015-06-19 2021-04-11 美商應用材料股份有限公司 用於批次處理之注射器及使用方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6447393B2 (ja) * 2015-07-06 2019-01-09 東京エレクトロン株式会社 成膜処理装置、成膜処理方法及び記憶媒体
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
TWI729101B (zh) 2016-04-02 2021-06-01 美商應用材料股份有限公司 用於旋轉料架基座中的晶圓旋轉的設備及方法
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11015246B2 (en) 2016-04-24 2021-05-25 Applied Materials, Inc. Apparatus and methods for depositing ALD films with enhanced chemical exchange
TWI734770B (zh) 2016-04-24 2021-08-01 美商應用材料股份有限公司 用於防止空間ald處理腔室中之背側沉積的設備
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
JP6744155B2 (ja) * 2016-06-30 2020-08-19 日本電産サンキョー株式会社 搬送システム
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10246775B2 (en) * 2016-08-03 2019-04-02 Tokyo Electron Limited Film forming apparatus, method of forming film, and storage medium
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
CA3026002C (en) * 2016-09-06 2021-04-20 Advanced Intelligent Systems Inc. Mobile work station for transporting a plurality of articles
JP6670713B2 (ja) * 2016-09-20 2020-03-25 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102444878B1 (ko) * 2017-07-04 2022-09-20 세메스 주식회사 기판 처리 장치
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
JP7321768B2 (ja) * 2018-05-23 2023-08-07 信越化学工業株式会社 化学気相成長装置および被膜形成方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN112292755A (zh) * 2018-06-18 2021-01-29 应用材料公司 成对的动态平行板电容耦合等离子体
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7183635B2 (ja) 2018-08-31 2022-12-06 東京エレクトロン株式会社 基板搬送機構、基板処理装置及び基板搬送方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) * 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20200056273A (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN110174370A (zh) * 2019-06-19 2019-08-27 宁波市环境监测中心 一种多功能一氧化碳检测系统
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
WO2021138132A1 (en) * 2019-12-30 2021-07-08 Tokyo Electron Limited High-throughput multi-stage manufacturing platform and method for processing a plurality of substrates
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
CN111304637B (zh) * 2020-03-17 2024-04-12 常州捷佳创精密机械有限公司 镀膜生产设备
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111364025A (zh) * 2020-05-09 2020-07-03 南京原磊纳米材料有限公司 一种改进型ald镀膜机
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230100987A (ko) * 2021-12-29 2023-07-06 주식회사 넥서스비 원자층 증착 장치 및 이를 이용한 원자층 증착방법
CN114914183B (zh) * 2022-07-14 2022-12-13 前海晶方云(深圳)测试设备有限公司 供料方法、存储装置、计算机设备和测试设备
KR20240032233A (ko) * 2022-09-01 2024-03-12 주식회사 넥서스비 원자층 증착 장치

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US6299404B1 (en) 1995-10-27 2001-10-09 Brooks Automation Inc. Substrate transport apparatus with double substrate holders
US6198074B1 (en) * 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6183564B1 (en) * 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
KR100319494B1 (ko) * 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6342691B1 (en) * 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
US6582175B2 (en) * 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
US6530733B2 (en) * 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6485248B1 (en) 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
AU2002211730A1 (en) * 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
JP4119211B2 (ja) * 2002-09-13 2008-07-16 日本碍子株式会社 加熱装置
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
EP1661161A2 (en) * 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter partition-valve with protected seals
US8668422B2 (en) * 2004-08-17 2014-03-11 Mattson Technology, Inc. Low cost high throughput processing platform
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP2006165173A (ja) * 2004-12-06 2006-06-22 Toshiba Corp 半導体装置の製造装置および製造方法
US7282675B2 (en) * 2004-12-22 2007-10-16 Sokudo Co., Ltd. Integrated thermal unit having a shuttle with a temperature controlled surface
US20070281089A1 (en) * 2006-06-05 2007-12-06 General Electric Company Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects
KR20080004118A (ko) * 2006-07-04 2008-01-09 피에스케이 주식회사 기판 처리 설비
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008135630A (ja) * 2006-11-29 2008-06-12 Jel:Kk 基板搬送装置
KR100803559B1 (ko) * 2007-05-02 2008-02-15 피에스케이 주식회사 기판 반송 유닛 및 방법, 그리고 상기 유닛을 가지는 기판처리 장치 및 상기 유닛을 이용한 기판 처리 방법
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
JP2009252050A (ja) 2008-04-08 2009-10-29 Nec Corp サーバ負荷管理システム、サーバ負荷管理方法、サーバ負荷管理プログラム

Also Published As

Publication number Publication date
CN101768731B (zh) 2012-10-17
TWI465599B (zh) 2014-12-21
US20100186669A1 (en) 2010-07-29
US8968476B2 (en) 2015-03-03
JP2010157736A (ja) 2010-07-15
CN101768731A (zh) 2010-07-07
TW201031769A (en) 2010-09-01

Similar Documents

Publication Publication Date Title
JP5295095B2 (ja) 原子層蒸着装置
KR101135853B1 (ko) 원자층 증착장치
JP5527197B2 (ja) 成膜装置
US7807587B2 (en) Substrate processing apparatus and substrate processing method
KR101575406B1 (ko) 기판 처리 장치, 퍼지 장치, 반도체 장치의 제조 방법 및 기록 매체
TWI470719B (zh) 基板處理裝置
KR100779118B1 (ko) 평판표시장치 제조시스템
JP4540953B2 (ja) 基板加熱装置及びマルチチャンバー基板処理装置
KR101524177B1 (ko) 열처리 장치 및 열처리 방법
WO2010035773A1 (ja) 成膜装置及び基板処理装置
KR101786167B1 (ko) 기판 처리 장치 및 기판 처리 방법
US20120225206A1 (en) Apparatus and Process for Atomic Layer Deposition
US20190194809A1 (en) Apparatus and methods for atomic layer deposition
KR101423813B1 (ko) 기판 반송 기구, 기판 처리 장치 및 반도체 장치의 제조 방법
US20110309562A1 (en) Support structure and processing apparatus
JP6512063B2 (ja) 成膜装置
JP2011029441A (ja) 基板処理装置及び基板処理方法
KR20120048879A (ko) 클램프 링을 구비하는 세미배치 타입 원자층 증착장치
WO2012120991A1 (ja) 基板処理装置、及び、基板の製造方法
KR102384558B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR101175126B1 (ko) 타원형 유로를 갖는 가스분사 모듈 및 직립방식 증착장치
KR20130065014A (ko) 서셉터의 변형을 검출하는 원자층 증착장비
TW202130853A (zh) 基板處理裝置、半導體裝置之製造方法及程式
JP2010045299A (ja) 基板処理装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120807

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121105

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130405

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130611

R150 Certificate of patent or registration of utility model

Ref document number: 5295095

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250