CN102978586B - 成膜装置和成膜方法 - Google Patents

成膜装置和成膜方法 Download PDF

Info

Publication number
CN102978586B
CN102978586B CN201210326691.5A CN201210326691A CN102978586B CN 102978586 B CN102978586 B CN 102978586B CN 201210326691 A CN201210326691 A CN 201210326691A CN 102978586 B CN102978586 B CN 102978586B
Authority
CN
China
Prior art keywords
mentioned
gas
plasma
antenna
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210326691.5A
Other languages
English (en)
Other versions
CN102978586A (zh
Inventor
加藤寿
菱谷克幸
牛窪繁博
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102978586A publication Critical patent/CN102978586A/zh
Application granted granted Critical
Publication of CN102978586B publication Critical patent/CN102978586B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种成膜装置和成膜方法。该成膜装置在旋转台的旋转方向上将两个等离子体产生部互相分开设置,并且在该等离子体产生部与晶圆之间分别配置法拉第屏蔽。而且,在各个法拉第屏蔽上设有沿与各个等离子体产生部中的天线正交方向上延伸的狭缝,对于在各个天线中产生的电场磁场中的电界进行屏蔽,另一方面使磁场向晶圆侧通过。

Description

成膜装置和成膜方法
技术领域
本发明涉及一种依次供给互相进行反应的处理气体而在基板的表面上层叠反应生成物并对于基板进行等离子体处理的成膜装置和成膜方法。
背景技术
作为对于半导体晶圆等基板(以下称作“晶圆”)成膜例如硅氧化膜(SiO2)等薄膜的技术的一种,能够列举出依次向晶圆的表面供给互相进行反应的多种处理气体(反应气体)而层叠反应生成物的ALD(AtomicLayerDeposition:原子层沉积)法。作为使用该ALD法进行成膜处理的成膜装置公知有如下装置,例如如日本国特许出愿公开2010-239102中所记载的,在设置在真空容器内的旋转台上沿圆周方向排列多张晶圆,并且通过例如使旋转台相对于以面对旋转台的方式配置的多个气体供给部相对地进行旋转,从而对该晶圆依次供给各种处理气体。
那么,与一般的CVD(ChemicalVaporDeposition:化学气相沉积)法相比,在ALD法中晶圆的加热温度(成膜温度)例如低300℃左右。因此,在上述处理气体之中的一种为例如NH3(氨)气体等情况下,存在有不能够使该NH3气体活化至生成反应生成物的程度的情况。另外,例如存在有处理气体中所含有的有机物等作为杂质混入到薄膜中的情况。在此,如日本国特许出愿公开2011-40574所公开,公知有为了对处理气体进行活化,或为了从薄膜中减少杂质,与薄膜的成膜一同进行等离子体处理的技术。
此时,在晶圆的内部形成有布线结构的情况下,有等离子体对该布线结构造成电损伤的危险。另一方面,若为了抑制对晶圆的等离子体损伤使等离子体源从晶圆离开,则在进行成膜处理的压力条件下易于使等离子体中的离子、自由基等活性种失活,因而有活性种难以达到晶圆从而无法进行良好的等离子体处理的危险。
那么,例如若将薄膜嵌入下端侧的开口径比上端侧的开口径大的倒锥形状的凹部中,则在该凹部内产生空隙,或在该薄膜中混入杂质。
在美国专利公报7,153,542号、日本专利3,144,664号公报及美国专利公报6,869,641号中,记载有利用ALD法形成薄膜的装置,但是并未提及上述的问题。
发明内容
本发明是根据这种情况做成的,其目的在于提供一种在依次供给互相进行反应的处理气体而在基板的表面上层叠反应生成物并对基板进行等离子体处理的过程中,能够抑制对于基板的等离子体损伤的成膜装置和成膜方法。
本发明的一技术方案的成膜装置,其在真空容器内多次进行依次供给第1处理气体及第2处理气体的循环而在基板上进行成膜处理,其中,该成膜装置包括:
旋转台,在其一面侧形成有载置基板的基板载置区域,该旋转台用于使上述基板载置区域在上述真空容器内进行公转;
第1处理气体供给部及第2处理气体供给部,其向在该旋转台的圆周方向上经由隔离区域互相隔离开的区域分别供给第1处理气体及第2处理气体;
主等离子体产生气体供给部及辅助等离子体产生气体供给部,其用于向上述真空容器内分别供给主等离子体产生用气体及辅助等离子体产生用气体;以及
主等离子体产生部及辅助等离子体产生部,其以在上述旋转台的圆周方向上互相隔离开的方式设置,用于对主等离子体产生用气体及辅助等离子体产生用气体分别进行等离子体化;
上述主等离子体产生部具有:
天线,其以在上述真空容器内利用电感耦合对主等离子体产生用气体进行等离子体化的方式,面对上述旋转台的一面侧设置;以及
法拉第屏蔽,其夹设在上述天线与进行等离子体处理的区域之间,为了阻止产生在上述天线的周围的电磁场中的电场成分的通过并使磁场通过基板侧,在与上述天线正交的方向延伸的狭缝沿着该天线延伸的方向排列多个构成导电性的板状体并接地。
本发明的另一技术方案的成膜方法,其多次进行在真空容器内依次供给第1处理气体及第2处理气体的循环而在基板上进行成膜处理,其中,该成膜方法包括:
在设置在真空容器内的旋转台的一面侧的基板载置区域上载置基板,并且使该基板载置区域进行公转的工序;
接着,向在上述旋转台的圆周方向上经由隔离区域互相隔离开的区域分别供给第1处理气体及第2处理气体的工序;
向上述真空容器内分别供给主等离子体产生用气体及辅助等离子体产生用气体的工序;
为了利用电感耦合对主等离子体产生用气体等离子体化,向面对上述旋转台的一面侧设置的主等离子体产生部的天线供给高频电力的工序;
利用夹设在上述天线与进行等离子体处理的区域之间的、在与上述天线正交的方向延伸的狭缝沿着该天线延伸的方向排列多个构成导电性的板状体的、接地的法拉第屏蔽,阻止产生在上述天线的周围的电磁场中的电场成分的通过并使磁场通过基板侧的工序;以及
在设置在相对于上述主等离子体产生部在旋转台的圆周方向上离开的位置上的辅助等离子体产生部中,对辅助等离子体产生用气体进行等离子体化的工序。
而且,本发明的目的和优点的一部分记载在说明书上,一部分是根据说明书显而易见的。本发明的目的和优点由所附的权利要求书中特别指出的要素及其其组合来实现。上述的一般的记载和下述的详细的说明是例示说明,并不是限定权利要求。
附图说明
图1是表示本发明的成膜装置的一个例子的纵向剖视图。
图2是上述成膜装置的横向剖视图。
图3是上述成膜装置的横向俯视图。
图4是表示上述成膜装置的内部的一部分的分解立体图。
图5是表示上述成膜装置的内部的一部分的纵向剖视图。
图6是表示上述成膜装置的内部的一部分的立体图。
图7是表示上述成膜装置的内部的一部分的纵向剖视图。
图8是表示上述成膜装置的内部的一部分的俯视图。
图9是表示上述成膜装置的法拉第屏蔽的一部分的立体图。
图10是表示上述成膜装置的边环的分解立体图。
图11是表示上述成膜装置的迷宫结构部的一部分的纵向剖视图。
图12是表示在上述成膜装置中的气体的流向的模式图。
图13是表示在上述成膜装置中的等离子体的产生的情况的模式图。
图14是表示在上述成膜装置中在基板上形成薄膜的情况的模式图。
图15是表示上述成膜装置的另一个例子的横剖面俯视图。
图16是表示在上述另一个例子中在基板上形成薄膜的情况的模式图。
图17是表示上述成膜装置的再另一个例子的一部分的立体图。
图18是表示上述再另一个例子的一部分的俯视图。
图19是表示上述成膜装置的其他例子的一部分的俯视图。
图20是表示上述成膜装置的其他例子的一部分的俯视图。
图21是表示上述成膜装置的其他例子的一部分的纵向剖视图。
图22是表示上述成膜装置的其他例子的一部分的纵向剖视图。
图23是表示上述成膜装置的另一个例子的一部分的立体图。
图24是表示上述成膜装置的再另一个例子的一部分的立体图。
图25是表示上述再另一个例子的一部分的立体图。
图26是表示上述再另一个例子的一部分的纵向剖视图。
具体实施方式
参照图1~图11说明本发明的实施方式的成膜装置的一个例子。如图1及图2所示,该成膜装置包括平面形状呈大致圆形的真空容器1和设置在该真空容器1内、在该真空容器1的中心具有旋转中心的旋转台2。而且,该成膜装置的结构为,如下文详细说明的,利用ALD法在晶圆W的表面上层叠反应生成物而形成薄膜,并且在该薄膜的成膜过程中对晶圆W进行等离子体处理。此时,以在进行等离子体处理过程中,晶圆W不被等离子体施加电损伤的方式、或以尽量使上述损伤变小的方式构成上述成膜装置。接着,详细说明成膜装置的各个部分。
真空容器1包括顶板11和容器主体12,顶板11以能够与容器主体12间进行装卸的方式构成。在顶板11的上表面侧的中央部,为了抑制互不相同的处理气体在真空容器1内的中心部区域C中相互混合,连接有用于供给将N2(氮)气体作为隔离气体的隔离气体供给管51。图1中附图标记13是呈环状地设置在容器主体12的上表面的周缘部的密封构件例如O形密封圈。
旋转台2构成为,中心部固定在呈大致圆筒形状的芯部21,利用连接在该芯部21的下表面并在垂直方向上延伸的旋转轴22,绕垂直轴线在本例子中向顺时针方向自由旋转。图1中附图标记23是使旋转轴22绕垂直轴线进行旋转的驱动部,附图标记20是容纳旋转轴22及驱动部23的外壳。该外壳20其上表面侧的凸缘部分气密地安装在真空容器1的底面部14的下表面。另外,在该外壳20上连接有用于向旋转台2的下方区域供给将N2气体作为吹扫气体的吹扫气体供给管72。在真空容器1的底面部14的位于芯部21的外周侧的部分以从下方侧靠近旋转台2的方式呈环状地形成突出部12a。
如图2及图3所示,在旋转台2的表面部上设有用于沿着旋转方向(圆周方向)载置多张例如5张基板即晶圆W的呈圆形状的凹部24,作为基板载置区域。以将晶圆W投进(容纳)该凹部24时,晶圆W的表面和旋转台2的表面(并不载置晶圆W的区域)对齐的方式设定凹部24的直径尺寸及深度尺寸。在凹部24的底面上形成有供例如后述的3个升降销贯通的贯通孔(未图示),该升降销用于从下方侧推晶圆W而使晶圆W升降。
如图2及图3所示,在旋转台2中的与凹部24的通过区域分别面对的位置上,以在真空容器1的圆周方向(旋转台2的旋转方向)上互相隔开间隔的方式,呈放射状地配置有各个例如由石英构成的5个喷嘴31、32、34、41、42。该各个喷嘴31、32、34、41、42分别以面对晶圆W例如从真空容器1的外周壁向中心部区域C水平地延伸的方式安装。在本例子中,从后述的输送口15看,沿顺时针方向(旋转台2的旋转方向)依次排列有辅助等离子体产生用气体喷嘴34、隔离气体喷嘴41、第1处理气体喷嘴31、隔离气体喷嘴42及兼用第2处理气体喷嘴的主等离子体产生用气体喷嘴32。如图3所示,在等离子体产生用气体喷嘴32、34的上方侧,为了使分别从等离子体产生用气体喷嘴32、34喷出的气体等离子体化,分别设有等离子体产生部81、82。下文详细说明该等离子体产生部81、82。另外,图2是表示以能看见等离子体产生用气体喷嘴32、34的方式拆卸了等离子体产生部81、82及后述的壳体90的状态,图3示出了安装了该等离子体产生部81、82及壳体90的状态。另外,图1示出了以在图2中的A-A线剖切的纵向截面。
在图2中,第1处理气体喷嘴31构成第1处理气体供给部,主等离子体产生用气体喷嘴32构成第2处理气体供给部及主等离子体产生用气体供给部。辅助等离子体产生用气体喷嘴34构成辅助等离子体产生用气体供给部。另外,隔离气体喷嘴41、42分别构成隔离气体供给部。另外,在图1中,用单点划线示意性地表示等离子体产生部81。
各个喷嘴31、32、34、41、42经由流量调整阀分别与以下的各个气体供给源(未图示)相连接。即,第1处理气体喷嘴31与含有Si(硅)的第1处理气体例如DCS(二氯硅烷)气体等的供给源相连接。主等离子体产生用气体喷嘴32与第2处理气体及作为主等离子体产生用气体的例如NH3(氨)气体的供给源相连接。辅助等离子体产生用气体喷嘴34与例如由Ar(氩)气体和H2(氢)气体的混合气体构成的辅助等离子体产生用气体的供给源相连接。隔离气体喷嘴41、42分别与作为隔离气体的N2(氮)气体的供给源相连接。另外,可以与NH3气体一并供给构成主等离子体产生用气体的一部分的Ar气体。
如图5及图7所示,在气体喷嘴31、32、34、41、42的下表面侧沿着旋转台2的半径方向例如等间隔地形成有多处气体喷出孔33。在等离子体产生用气体喷嘴32、34的外周面以分别朝向旋转台2的旋转方向上游侧和下方侧(斜下)的方式沿着该等离子体产生用气体喷嘴32、34的长度方向例如等间隔地形成有多处气体喷出孔33。下文说明如此设定等离子体产生用气体喷嘴32、34的气体喷出孔33的朝向的理由。该各个喷嘴31、32、34、41、42以该喷嘴31、32、34、41、42的下端缘与旋转台2的上表面之间的间隔距离为例如1mm~5mm左右的方式配置。
处理气体喷嘴31的下方区域是用于将含Si气体吸附在晶圆W上的第1处理区域P1,主等离子体产生用气体喷嘴32的下方区域构成用于使吸附在晶圆W上的含Si气体和NH3气体的等离子体进行反应的第2处理区域P2。另外,辅助等离子体产生用气体喷嘴34的下方区域成为对经过了处理区域P1、P2而形成在晶圆W上的反应生成物进行改性处理的第3处理区域P3。隔离气体喷嘴41、42用于形成隔离各个第1处理区域P1与第2处理区域P2的隔离区域D。如图2及图3所示,在真空容器1的顶板11位于该隔离区域D中的部分设有呈大致扇形的凸状部4,如图7所示,隔离气体喷嘴41、42容纳在形成于该凸状部4的槽部43内(仅图示了隔离气体喷嘴41)。因而,在隔离气体喷嘴41、42的处于旋转台2圆周方向的两侧,为了阻止各个处理气体之间的混合,配置有作为上述凸状部4的下表面的较低的顶面44(第1顶面),在该顶面44的上述圆周方向两侧配置有比该顶面44高的顶面45(第2顶面)。为了阻止各个处理气体之间的混合,凸状部4的周缘部(真空容器1的靠外缘侧的部位)以与旋转台2的外端面相面对并与容器主体12略微分开的方式呈L字型地弯曲。
接着,详细说明上述的主等离子体产生部81及辅助等离子体产生部82。起初,说明主等离子体产生部81,如上所述地从输送口15看,该主等离子体产生部81设置在右侧(旋转台2的旋转方向上游侧),是将由金属线构成的天线83卷绕成呈线圈状而构成的。在本例子中,天线83由例如在铜(Cu)的表面依次实施镀镍和镀金的材料构成。另外,天线83以从真空容器1的内部区域气密地划分出来的方式设置在该真空容器1的顶板11上。具体来说,如图3及图4所示,在上述的顶板11的位于主等离子体产生用气体喷嘴32的上方侧(详细来说从比该喷嘴32略微靠旋转台2的旋转方向上游侧的位置至比输送口15略微靠喷嘴32侧的位置)的部分,形成有俯视时呈大致扇形地开口的开口部11a。另外,为了避免混淆,对于等离子体产生部81、82分别标注“主”及“辅助”的术语进行说明,但是该等离子体产生部81、82互相构成大致相同的结构,另外对在各个等离子体产生部81、82中各自进行的等离子体处理也成为互相独立的处理。
上述开口部11a形成在从向外周侧距离开旋转台2的旋转中心例如60mm左右的位置横跨至向外侧离开旋转台2的外缘80mm左右的位置。另外,开口部11a以并不与设置在真空容器1的中心部区域C上的后述的迷宫结构部110相干扰(避开)的方式,以俯视时其靠旋转台2的中心侧上的端部沿着该迷宫结构部110的外缘地呈圆弧状凹陷。而且,如图4及图5所示,该开口部11a以该开口部11a的开口径从顶板11的上端面到下端面分级变小的方式,例如3级台阶部11b在圆周方向整周形成。如图5所示,在该台阶部11b之中最下级的台阶部(口缘部)11b的上表面在圆周方向整周形成有槽11c,在该槽11c内配置有密封构件例如O形密封圈11d。另外,对槽11c及O形密封圈11d在图4中省略了图示,另外图4中欠缺顶板11。
如图6所示,在该开口部11a配置有壳体90,该壳体90的上方侧的周缘部在圆周方向整周呈凸缘状地水平地伸出而形成凸缘部90a,并且该壳体90的中央部向下方侧的真空容器1的内部区域凹陷。为了将在主等离子体产生部81中产生的磁场通过到晶圆W侧,该壳体90例如由石英等电介质构成为透磁体(透过磁场的材料),如图9所示,上述凹陷的部分的在厚度方向上的尺寸t为例如20mm。另外,该壳体90构成为,在晶圆W位于该壳体90的下方时,在中心部区域C侧处壳体90的内壁面与晶圆W的外缘之间的距离为70mm,在旋转台2的外周侧处壳体90的内壁面与晶圆W的外缘之间的距离为70mm。
若将该壳体90投进上述的开口部11a内,则凸缘部90a和台阶部11b之中最下级的台阶部11b互相卡定。而且,借助于上述的O形密封圈11d,该台阶部11b(顶板11)与壳体90气密地相连接。另外,通过利用沿着开口部11a的外缘呈框状地形成的按压构件91向下方侧在圆周方向整周按压上述凸缘部90a,并且利用未图示的螺栓等将该按压构件91固定在顶板11上,从而气密地设定真空容器1的内部气氛。如此将壳体90气密地固定在顶板11上时,该壳体90的下表面与旋转台2上的晶圆W的表面之间的分离尺寸h成为4mm~60mm,在本例子中为30mm。另外,图6表示从下方侧看壳体90的图。
如图5~图7所示,为了阻止N2气体向该壳体90的下方区域进入,壳体90的下表面的外缘部在圆周方向整周向下方侧(旋转台2侧)垂直伸出而形成限制气体用的突起部92。而且,在由该突起部92的内周面、壳体90的下表面及旋转台2的上表面围成的区域中,在旋转台2的旋转方向上游侧容纳有上述的主等离子体产生用气体喷嘴32。
在突起部92的靠主等离子体产生用气体喷嘴32的基端侧(真空容器1的侧壁侧)的部分以沿着该等离子体产生用气体喷嘴34的外形的方式呈大致圆弧状地开有槽口。突起部92的下表面与旋转台2的上表面之间的离开尺寸d成为0.5mm~5mm,在本例子中为2mm。该突起部92的宽度尺寸及高度尺寸分别为例如10mm及28mm。另外,图7表示沿着旋转台2的旋转方向剖开真空容器1的纵向剖视图。
另外,在成膜处理中,旋转台2向顺时针方向旋转,因而N2气体追随于该旋转台2的旋转要从旋转台2与突起部92之间的间隙进入到壳体90的下方侧。因此,为了阻止N2气体经由上述间隙进入壳体90的下方侧,从壳体90的下方侧向上述间隙喷出气体。具体来说,对于主等离子体产生用气体喷嘴32的气体喷出孔33,如图5及图7所示,其以朝向该间隙的方式,即以朝向旋转台2的旋转方向上游侧和朝向下方的方式配置。如图7所示,主等离子体产生用气体喷嘴32的气体喷出孔33相对于垂直轴线的朝向角度θ为例如45°左右。
在此,若从壳体90的下方(第2处理区域P2)侧看密封顶板11与壳体90之间区域的上述的O形密封圈11d,则如图5所示,在该第2处理区域P2与O形密封圈11d之间在圆周方向整周形成有突起部92。因此,O形密封圈11d并不直接暴露于等离子体的方式,可以说从第2处理区域P2被隔离。因而,即使等离子体从第2处理区域P2例如向O形密封圈11d侧扩散,也要经由突起部92的下方前行,因而达到O形密封圈11d之前等离子体将会失活。
在壳体90的内侧容纳有接地的法拉第屏蔽95,该法拉第屏蔽95由以大致沿着该壳体90的内部形状的方式形成的厚度尺寸k例如1mm左右的作为导电性板状体的金属板构成。在本例子中,法拉第屏蔽95由例如在铜(Cu)板上从下侧开始镀敷镍(Ni)膜及金(Au)膜的板材构成。即,该法拉第屏蔽95包括以沿着壳体90的底面的方式水平地形成的水平面95a和从该水平面95a的外周端在圆周方向整周向上方侧延伸的垂直面95b,从上方侧看时,该法拉第屏蔽95以沿着壳体90的内缘呈大致扇状的方式构成。该法拉第屏蔽95通过例如金属板的轧制加工,或者通过将在金属板上的与水平面95a的外侧对应的区域向上方侧弯曲而形成。
另外,如图4所示,从旋转台2的旋转中心看法拉第屏蔽95时,在法拉第屏蔽95的上端缘的靠右侧及左侧的部分分别向右侧及左侧水平地伸出构成支承部96。而且,若将法拉第屏蔽95容纳到壳体90的内部,则法拉第屏蔽95的下表面与壳体90的上表面互相接触,并且上述支承部96受壳体90的凸缘部90a支承。在该水平面95a上,为了获得与载置在法拉第屏蔽95的上方的主等离子体产生部81间的绝缘,层叠有由厚度尺寸例如2mm左右的例如石英构成的绝缘板94。在上述水平面95a上形成有多个狭缝97,但是对该狭缝97的形状、配置布局,与主等离子体产生部81的天线83的形状一并进行详述。另外,在后述的图8及图9等中省略画出绝缘板94。
主等离子体产生部81以容纳在法拉第屏蔽95的内侧的方式构成,因而如图4及图5所示,经由壳体90、法拉第屏蔽95及绝缘板94以面向真空容器1的内部(旋转台2上的晶圆W)的方式配置。该主等离子体产生部81如上所述地将天线83例如3绕垂直轴线卷3圈构成,在本例子中设有两个天线83a、83b。若该两个天线83a、83b之中的一个天线称作第1天线83a,将另一个天线称作第2天线83b,则第1天线83a如图4及图5所示俯视时以沿着壳体90的内缘的方式呈大致扇状。另外,第1天线83a配置方式为,中心部区域C侧及外周侧的端部靠近各个壳体90的内壁面,以在晶圆W位于该第1天线83a的下方时,第1天线83a能够在该晶圆W的靠中心部区域C侧的端部与旋转台2的靠外缘侧的端部之间整个区域放射(供给)等离子体。另外,在天线83a、83b的内部分别形成有冷却水所流通的流路,但是在此省略。
如图3所示,第2天线83b以能够在旋转台2的半径方向外周侧向晶圆W供给等离子体的方式配置在旋转台2上的从晶圆W的中心位置向外轴侧偏离200mm左右的位置与从旋转台2的外缘向外轴侧偏离90mm左右的位置之间。即,若旋转台2旋转,则与中心部侧相比在外周部侧周向速度变快。因此,与内周部侧相比,在外周部侧存在有向晶圆W供给的等离子体的量变少的情况。在此,为了在旋转台2的半径方向上使向晶圆W供给的等离子体的量一致,所谓为了补偿利用第1天线83a向晶圆W供给的等离子体的量,设置了第2天线83b。
第1天线83a及第2天线83b经由各个匹配器84各自与频率为例如13.56MHz及输出电力为例如5000W的高频电源85相连接,以对该第1天线83a及第2天线83b单独调整高频电力的方式构成。另外,在图1、图3及图4等中的附图标记86是用于电连接各个天线83a、83b和匹配器84及高频电源85的连接电极。
在此,详细说明上述的法拉第屏蔽95的狭缝97。该狭缝97阻止在各个天线83a、83b上产生的电场及磁场(电磁场)之中电场成分朝向下方的晶圆W的情况,并且用于使磁场达到晶圆W。即,若电场达到晶圆W,则存在有形成在该晶圆W的内部的电配线受到电损伤的情况。另一方面,法拉第屏蔽95如上所述地由接地的金属板构成,因而若不形成狭缝97,则磁场也将与电场一并被屏蔽。另外,若在天线83的下方形成较大的开口部,则不仅是磁场也使电场通过。在此,为了屏蔽电场通过磁场,如以下形成了设定了尺寸及配置布局的狭缝97。
具体来说,如图8所示,狭缝97以在与第1天线83a及第2天线83b的各自卷绕方向正交的方向上延伸的方式,沿圆周方向整周地分别形成在天线83a、83b的下方位置。因而,例如在天线83a、83b沿着旋转台2的半径方向配置的区域中,狭缝97以沿着旋转台2的切线方向或圆周方向的方式呈直线状或呈圆弧状形成。另外,在天线83a、83b以沿着旋转台2的外缘的方式呈圆弧状配置的区域中,狭缝97在从旋转台2的旋转中心到外缘的方向上呈直线状地形成。而且,在上述两个区域之间天线83a、83b弯曲的部分中,狭缝97以与天线83a、83b在该弯曲的部分上的延伸的方向正交的方式,在相对于旋转台2的圆周方向及半径方向都倾斜的朝向上形成。因而,狭缝97沿着天线83a、83b的各自延伸的方向排列有多个。
在此,如上所述,在天线83a、83b上连接有频率为13.56MHz的高频电源85,对应该频率的波长为22m。因此,狭缝97以成为该波长的1/10000以下程度的宽度尺寸的方式,如图9所示以宽度尺寸d1成为1mm~5mm在本例子中2mm、狭缝97、97之间的隔离尺寸d2成为1mm~5mm在本例子中2mm的方式形成。另外,如上述的图8所示,从天线83a(83b)延伸的方向看时,该狭缝97以长度尺寸分别成为例如60mm的方式,从比该天线83a(83b)的右端靠右侧30mm左右的位置一直形成到至比天线83a(83b)的左端靠左侧30mm左右的位置形成。因而,可以说在各个狭缝97的长度方向上的一端侧及另一端侧以堵塞该狭缝97的开口端的方式在整个圆周方向分别配置有由接地的导电体构成的导电路97a、97a。
在法拉第屏蔽95中在该狭缝97的形成区域之外的区域,即在天线83a、83b绕线区域的中央侧分别形成有用于经由该区域确认等离子体的发光状态的开口部98。另外,在图3中省略了狭缝97。另外,在图4及图5等中对狭缝97进行了简化,狭缝97形成有例如150个左右。狭缝97从靠近开口部98的区域到远离该开口部98的区域以宽度尺寸d1变宽的方式形成,但是在此省略图示。
以在旋转台2的旋转方向下游侧与以上说明的主等离子体产生部81向远离的方式配置有辅助等离子体产生部82,该辅助等离子体产生部82结构与主等离子体产生部81大致相同。即,辅助等离子体产生部82由第1天线83a及第2天线83b构成,配置在壳体90、法拉第屏蔽95及绝缘板94的上方侧。与主等离子体产生部81相同,对于辅助等离子体产生部82的天线83a、83b,其也经由匹配器84各自连接在频率为例如13.56MHz及输出电力为例如5000W的高频电源85上,以对该第1天线83a及第2天线83b单独供给高频电力。
接着,返回到真空容器1的各个部分的说明。在旋转台2的外周侧上比该旋转台2略微靠下位置上,如图2、图5及图10所示,配置有作为盖体的边环100。例如在装置的清洁时,在取代各个处理气体流通有氟类的清洁气体时,该边环100保护真空容器1的内壁的构件免受该清洁气体影响。即,若不设置边环100,则可以说要在旋转台2的外周部与真空容器1的内壁之间在圆周方向整周呈环状形成用于形成横向气流(排气流)的呈凹部状的气流通路。因此,该边环100以使真空容器1的内壁面尽量不暴露于气流通路的方式设置在该气流通路上。在本例子中,在各个隔离区域D及壳体90中的外缘侧的区域向该边环100的上方侧暴露。
在边环100的上表面上,以在圆周方向互相隔离开的方式形成有两处排气口61、62。换句话说,在上述气流通路的下方侧形成两个排气口,在边环100上与该排气口对应的位置上形成排气口61、62。若将该两个排气口61、62之中将一个和另一个分别称作第1排气口61及第2排气口62,则第1排气口61配置在第1处理气体喷嘴31与在比该第1处理气体喷嘴31靠旋转台的旋转方向下游侧的隔离区域D之间的靠该隔离区域D侧的位置。第2排气口62配置在辅助等离子体产生用气体喷嘴34与在比该喷嘴34靠旋转台旋转方向下游侧的隔离区域D之间的靠该隔离区域D侧的位置。第1排气口61是用于排出Si含有气体及隔离气体的排气口,第2排气口62是不仅用于排出NH3气体及隔离气体,还用于排出从辅助等离子体产生用气体喷嘴34供给的等离子体产生用气体的排气口。该第1排气口61及第2排气口62如图1所示借助于各自设有蝶阀等压力调整部65的排气管63与真空排气机构的例如真空泵64相连接。
在此,如上所述,从中心部区域C侧到外缘侧整个范围形成壳体90,因而例如在从比主等离子体产生部81靠旋转台2的旋转方向向上游侧喷出的隔离气体被该壳体90限制为所谓流向第2排气口62的气流。另外,对于辅助等离子体产生部82,也从中心部区域C侧到外缘部侧整个范围形成壳体90,因而在从比该壳体90靠上游侧流向第2排气口62的气流将受到限制。在此,在上述边环100的上表面的位于壳体90的外侧的部分形成有用于供隔离气体流动的槽状的气体流路101。具体来说,如图3所示,从在主等离子体产生部81的壳体90中的比旋转台2的旋转方向上游侧的端部靠第1排气口61侧例如60mm左右的位置直至上述的第2排气口62之间,以深度尺寸为例如30mm的方式呈圆弧状地形成该气体流路101。因而,该气体流路101以沿着壳体90的外缘的方式形成,并且从上方侧去看时该气体流路101以在主等离子体产生部81及辅助等离子体产生部82的壳体90、90的外缘部整个范围内的方式形成。该边环100虽然省略了图示,但是为了使之对于氟类气体具有耐腐蚀性,其表面利用例如氧化铝等进行了涂层,或被石英盖等所覆盖。
如图2所示,在顶板11的下表面的中央部设有突出部5,该突出部5与在凸状部4的靠中心部区域C侧的部位相连续并在圆周方向整周呈大致环状,并且其下表面与凸状部4的下表面(顶面44)具有相同高度。如图1所示,在芯部21的上方侧的比该突出部5靠在旋转台2的旋转中心侧的部位,配置有用于抑制含Si气体与NH3气体等在中心部区域C互相混合的迷宫结构部110。即,如从上述的图1可见,将壳体90形成至靠中心部区域C侧的位置,因而对旋转台2的中央部进行支承的芯部21的位于旋转台2上方侧的部位以避开壳体90的方式形成在靠上述旋转中心侧的位置上。因而,在中心部区域C侧,可以说形成了比外缘部侧更容易出现例如处理气体之间混合的状态。在此,通过形成迷宫结构部110,确保气体的流路来防止处理气体之间混合的情况。
具体来说,如在图11中放大该迷宫结构部110所示,该迷宫结构部110由从旋转台2侧向顶板11侧垂直延伸的第1壁部111和从顶板11侧向旋转台2垂直延伸的第2壁部112形成,且第1壁部111和第2壁部112分别在圆周方向整周形成,并且采用在旋转台2的半径方向上交替配置该壁部111、112的结构。具体来说,从上述的突出部5侧到中心部区域C侧,依次配置有第2壁部112、第1壁部111及第2壁部112。在本例子中,突出部5侧的第2壁部112构成为比另一壁部111、112向该突出部5侧鼓起的结构。若对这样的壁部111、112的各个尺寸列举出一个例子,则壁部111、112之间的间隔尺寸j设定为例如1mm,壁部111与顶板11之间的间隔尺寸(壁部112与芯部21之间的间隙尺寸)m设定为例如1mm。
因而,在迷宫结构部110处,例如从第1处理气体喷嘴31喷出要流向中心部区域C的含Si气体需要翻越壁部111、112,因而越靠中心部区域C流速越慢,从而变得不易扩散。因此,在处理气体达到中心部区域C之前被向该中心部区域C供给的隔离气体压回到处理区域P1侧。另外,对流向中心部区域C的NH3气体等等离子体产生用气体也相同由于迷宫结构部110难以达到中心部区域C。因此,防止处理气体之间在中心部区域C中互相混合的情况。
另一方面,从上方侧向该中心部区域C供给的N2气体要在圆周方向上迅速地扩散,但是因为设有迷宫结构部110,因而在翻越该迷宫结构部110中的壁部111、112时N2气体的流速受到抑制。此时,上述N2气体本来还要进入到例如旋转台2与突起部92之间的极其狭窄的区域,但是因为被迷宫结构部110抑制了流速,因而流向比该狭窄的区域宽阔的区域(例如处理区域P1、或壳体90、90之间的区域)。因此,向壳体90的下方侧的N2气体的流入被抑制。另外,如后述,还从壳体90的下方侧的空间设定为相比于真空容器1内的其他区域为正压的情况来看,这也抑制了向该空间的N2气体的流入。
如图1所示,在旋转台2与真空容器1的底面部14之间的空间中设有作为加热机构的加热单元7,借助旋转台2将旋转台2上的晶圆W加热至例如300℃。图1中附图标记71a是设置在加热单元7的侧方侧的盖构件,附图标记7a是覆盖该加热单元7的上方侧的覆盖构件。另外,在真空容器1的底面部14,在加热单元7的下方侧在整个圆周方向上设有多处用于吹扫加热单元7的配置空间的吹扫气体供给管73。
如图2及图3所示,在真空容器1的侧壁上,形成有用于在未图示的外部的输送臂与旋转台2之间进行传递晶圆W的输送口15,该输送口15由闸门G气密地自由开闭地构成。另外,从在旋转台2的凹部24面对该输送口15的位置在旋转台2的凹部24与输送臂之间进行晶圆W的传递的情况来看,旋转台2的凹部24在旋转台2的下方侧,在与该传递位置相对应的部位设有贯通凹部24而用于从背面顶起晶圆W的传递用的升降销及其升降机构(都未图示)。
另外,在该成膜装置上设有用于进行装置整体的动作的由控制的计算机构成的控制部120,在该控制部120的存储器内存储有用于进行后述的成膜处理及改性处理的程序。该程序组合有步骤组,用以执行后述的装置的动作,并从硬盘、微型光盘、磁光盘、存储卡、软磁盘等作为存储介质的存储部121安装到控制部120内。
接着,说明上述实施方式的作用。首先,开放闸阀G,使旋转台2间歇性地进行旋转,并且利用未图示输送臂经由输送口15将例如5张晶圆W载置在旋转台2上。在该晶圆W上已经实施有使用了干蚀刻处理、CVD(ChemicalVaporDeposition:化学气相沉积)法等的配线嵌入工序,因而在该晶圆W的内部形成有电气布线结构。另外,如图14的左端所示,在晶圆W的表面形成有孔、槽等凹部130。接着,关闭闸阀G,利用真空泵64使真空容器1内成为抽尽的状态,并且使旋转台2向顺时针方向旋转并利用加热单元7将晶圆W加热至例如300℃。另外,图14示意性地描绘了晶圆W的一部分。
接着,从处理气体喷嘴31喷出含Si气体并从主等离子体产生用气体喷嘴32喷出NH3气体。另外,从辅助等离子体产生用气体喷嘴34喷出Ar气体及H2气体的混合气体。而且,从隔离气体喷嘴41、42以规定的流量喷出隔离气体,还从隔离气体供给管51及吹扫气体供给管72,72以规定的流量喷出N2气体。而且,利用压力调整部65将真空容器1内调整为预先设定的处理压力,例如400Pa~500Pa,在本例子中调整为500Pa。另外,在主等离子体产生部81中,对各个天线83a、83b以例如分别为1500W及1000W的方式供给高频电力,并且在辅助等离子体产生部82中,对各个天线83a、83b以例如分别为1500W及1000W的方式供给高频电力。
此时,追随于例如该旋转台2的旋转,从比各个壳体90靠旋转台2的旋转方向上游侧的部位向该壳体90流通过来的例如N2气体将被壳体90扰乱气流。但是,在边环100的位于壳体90的外周侧的部分形成了气体流路101,因而上述气体以避开壳体90的方式经由该气体流路101排出。
另一方面,从壳体90的上游侧向该壳体90流通过来的气体中的一部分气体进入到壳体90的下方。但是,在上述的壳体90的下方侧的区域中,以覆盖该区域的方式形成突起部92,并且等离子体产生用气体喷嘴32、34的气体喷出孔33分别朝向旋转台2的旋转方向的上游侧的斜下方向。因而,从等离子体产生用气体喷嘴32、34喷出的等离子体产生用气体吹到突起部92的下方侧,向壳体90的外侧挤出将要从上述上游侧流入的N2气体等。而且,从各个等离子体产生用气体喷嘴32、34喷出的等离子体产生用气体被突起部92压回旋转台2的旋转方向下游侧。此时,相比于真空容器1内的其它区域,在处理区域P2、P3的位于壳体90下方的部分例如成为气压高10Pa左右的正压。从该情况来看,也阻止了N2气体等进入壳体90的下方侧。
而且,含Si气体将向中心部区域C进入,但是由于在该中心部区域C设有上述的迷宫结构部110,因而被该迷宫结构部110如上所述地阻碍气流,将被从上方侧供给到中心部区域C中的隔离气体压回到原来的处理区域P1侧。另外,还对将向中心部区域C进入的各个气体同样地阻碍其向该中心部区域C的进入。因而,防止了在中心部区域C中处理气体(等离子体产生用气体)之间的混合。另外,同样地,利用迷宫结构部110抑制从中心部区域C向外周侧喷出的N2气体进入壳体90的下方侧。
而且,在第1处理区域P1与第2处理区域P2之间供给N2气体,因而如图12所示,以含Si气体与NH3气体等等离子体产生用气体不互相混合的方式排出各个气体。另外,由于向旋转台2的下方侧供给吹扫气体,因此向旋转台2的下方侧扩散的气体被上述吹扫气体压回到排气口61、62侧。
此时,在图13中所示意性地所示,利用从高频电源85供给来的高频电力,在各个等离子体产生部81、82中产生电场及磁场。从如上所述地设有法拉第屏蔽95的情况来看,该电场及磁场之中电场被该法拉第屏蔽95反射或吸收(衰减),阻碍(屏蔽)其到达真空容器1内。另外,从在狭缝97的长度方向上的一端侧及另一端侧分别配置有导电电路97a、97a的情况来看,对要绕过该一端侧及另一端侧向晶圆W侧的电场也进行屏蔽。另一方面,因为法拉第屏蔽95形成有狭缝97,因而磁场通过该狭缝97,经由壳体90的底面达到真空容器1内。另外,在法拉第屏蔽95的位于等离子体产生部81、82的侧方侧的部分在整个圆周方向上形成有狭缝97,因而电场及磁场并不经由该侧方侧绕向下方侧。
因而,从等离子体产生用气体喷嘴32、34喷出的等离子体产生用气体被经由狭缝97通过的磁场分别活化,生成例如离子、自由基等等离子体。具体来说,在第2处理区域P2中产生NH3气体的等离子体。另外,在第3处理区域P3中产生Ar气体及H2气体的等离子体。此时,从在旋转台2的半径方向上设有第1天线83a及第2天线83b的情况来看,就生成在真空容器1内的等离子体的强度而言,与旋转台2的中心部侧相比,在外周部侧的等离子体的强度大。另外,在图12中也示意性地示出了天线83a、83b,示意性地放大描绘了该天线83a、83b、法拉第屏蔽95、壳体90及晶圆W之间的各个尺寸。
另一方面,通过旋转台2的旋转,在晶圆W的表面上在第1处理区域P1中吸附含Si气体,接着在第2处理区域P2中使吸附在晶圆W上的含Si气体被NH3气体的等离子体氮化,作为薄膜成分的氮化硅膜(Si-N)的分子层形成为1层或多层而形成反应生成物。此时,由于例如含Si气体中所含有的残基,因此有时在氮化硅膜中会存在含有氯(Cl)、有机物等杂质的情况。
而且,若根据旋转台2的旋转,辅助等离子体产生用气体(Ar、H2)的等离子体与晶圆W的表面接触,则将进行氮化硅膜的改性处理。具体来说,通过例如等离子体撞击晶圆W的表面,从而如图14所示,例如上述杂质作为HCL、有机气体等从氮化硅膜中释放出来,或氮化硅膜内的元素被重新排列而谋求氮化硅膜的致密化(高密度化)。
此时,因为旋转台2进行旋转,所以相比于中心部,侧靠外周部侧上周向速度变快,因而,与中心部侧相比,在该外周部侧的等离子体处理的程度(氮化处理或改性处理的程度)将变小。但是,本发明中,由于从在侧靠外周部侧处等离子体的强度比旋转台2的中心部处强,所以在旋转台2的半径方向上等离子体处理的程度一致。通过这样旋转台2的持续旋转,从而如图14所示,依次进行多次向晶圆W表面吸附含Si气体、对吸附在晶圆W表面的含Si气体的成分进行氮化及对反应生成物的等离子体进行改性,层叠反应生成物而以嵌入上述的凹部130的方式形成薄膜。在此,如上所述地在晶圆W的内部形成有电气布线结构,但是在等离子体产生部81、82与晶圆W之间设置法拉第屏蔽95而对电场进行屏蔽,因而抑制了对该电气布线结构的电损伤。
根据上述的实施方式,在旋转台2的旋转方向上将两个等离子体产生部81、82互相分开设置,并且在该等离子体产生部81、82与晶圆W之间分别配置法拉第屏蔽95,因而能够对在该等离子体产生部81、82中产生的电场进行屏蔽。另一方面,对于在等离子体产生部81、82中产生的磁场,在法拉第屏蔽95设有在沿与天线83正交的方向延伸的狭缝97,因而磁场能够经由该狭缝97达到真空容器1内,因而能够在抑制等离子体对晶圆W的内部的电气布线结构的电损伤的情况下进行等离子体处理。因此,能够快速获得具有良好的膜质及电特性的薄膜。而且,设有两个等离子体产生部81、82,因而能够组合互不相同种类的等离子体处理。因而,如上所述地能够组合对吸附在晶圆W的表面的含Si气体进行的等离子体氮化处理和对反应生成物进行的等离子体改性处理这样的互不相同种类的等离子体处理,因而能够获得自由度较高的装置。
另外,从设有法拉第屏蔽95的情况来看,能够抑制等离子体对壳体90等石英构件的损伤(蚀刻)。因此,能够谋求上述石英构件的长寿命化,另外能够抑制污染的产生,而且还能够抑制石英(SiO2)混入薄膜(SiO2)中导致的膜厚的不均匀。
而且,设有壳体90,因而能够使等离子体产生部81、82靠近旋转台2上的晶圆W。因此,即使是进行成膜处理的程度较高的压力气氛(较低的真空度),也能够抑制等离子体中的离子、自由基的失活从而进行良好的改性处理。而且,在壳体90上设有突起部92,因而O形密封圈11d并不向处理区域P2、P3暴露。因此,能够抑制O形密封圈11d所含有的例如氟类成分向晶圆W混入,另外能够谋求该O形密封圈11d的长寿命化。
另外,在壳体90的下表面形成突起部92,并且使等离子体产生用气体喷嘴32、34的气体喷出孔33朝向旋转台2的旋转方向上游侧。因此,即使从等离子体产生用气体喷嘴32、34喷出的气流量为小流量,也能够抑制NH3气体、N2气体向壳体90的下方区域进入。因此,连同成膜处理,在相同的成膜装置中进行等离子体处理,例如等离子体产生部81、82之间的区域或处理区域P1与主等离子体产生部81之间的区域不需要各自设置排气口、泵,而且也不需要在上述区域设置隔离区域D,因而能够简化装置结构。
另外,在配置壳体90时,在边环100的位于该壳体90外周侧的部分形成气体流路101,因而能够避开壳体90,良好地排出各个气体。
另外,在壳体90的内部容纳等离子体产生部81、82,因而能够将该等离子体产生部81、82配置在大气氛围气氛的区域(真空容器1的外侧区域)中,因而等离子体产生部81、82的维护变得容易。
在此,在壳体90的内部容纳等离子体产生部81、82,因而例如在中心部区域C侧,等离子体产生部81的端部从旋转台2的旋转中心离开与该壳体90的侧壁的厚度尺寸相应的量。因此,等离子体变得难以达到晶圆W的靠中心部区域C侧的端部。另一方面,若为了使等离子体达到在中心部区域C侧上的晶圆W的端部而将壳体90形成至靠进中心部区域C侧的位置,则如上所述地中心部区域C将变小。在该情况下,有可能会使含Si气体与NH3气体等在中心部区域C混合。但是,在本发明中,在中心部区域C形成迷宫结构部110,确保了气体流路,因而在旋转台2的半径方向上整体确保较大的等离子体空间,并且能够抑制在中心部区域C处的含Si气体与NH3气体等混合及N2气体流入该等离子体空间内。
另外,从设置天线83a、83b,使在旋转台2的半径方向上的晶圆W的改性的程度一致的情况来看,能够获得整个面内膜质均匀的薄膜。
在上述的例子中,交替地进行了反应生成物的成膜与针对该反应生成物的改性处理,但是也可以将反应生成物层叠了例如70层(大致10nm的膜厚)左右之后,对该反应生成物的层叠体进行改性处理。具体来说,在供给含Si气体及NH3气体的等离子体而进行反应生成物的成膜处理期间停止向等离子体产生部82供给高频电力。而且,层叠体的形成后,停止供给该含Si气体及NH3气体而向等离子体产生部82供给高频电力。在这样的所谓批量改性的情况下,也能够获得与上述的例子相同的效果。
在以上的例子中列举出了在两个等离子体产生部81、82处分别进行生成反应生成物(Si-N)的等离子体处理(氮化处理)及等离子体改性处理的例子,但是也可以例如用主等离子体产生部81,既进行生成反应生成物的等离子体处理,也进行等离子体蚀刻处理。以下关于进行这样的等离子体蚀刻处理例子,还有关于成膜装置及晶圆W的结构进行说明。
首先,对成膜装置进行说明,如图15所示,在该成膜装置的第1处理气体喷嘴31上连接有作为第1处理气体例如BTBAS(双三丁基氨基硅烷:SiH2(NH-C(CH332)气体的存储源。在主等离子体产生用气体喷嘴32上连接有含有C(碳)和F(氟)的蚀刻气体例如CHF3气体、作为第2处理气体的O2气体、以及作为主等离子体产生用气体的Ar气体的存储源。具体来说,主等离子体产生用气体喷嘴32的上游侧分支为例如3个分支管,各个分支管借助阀及流量调整部各自与上述各个气体的存储源相连接,这部分省略图示。在辅助等离子体产生用气体喷嘴34上作为辅助等离子体产生用气体连接有O2气体、Ar气体及H2气体的存储源。
接着说明晶圆W的结构,在晶圆W上与上述的例子相同形成有凹部130,如在图16的左侧附图所示,该凹部130形成为上端侧的开口尺寸小于下端侧的开口尺寸的倒锥形状。另外,图16示意性地描绘了晶圆W的一部分,对凹部130的倒锥形状进行了夸张。
若在图15的成膜装置中,对该晶圆W进行与上述的例子相同的成膜处理,则如从图16的左侧第2幅图所示,在第1处理区域P1处,在晶圆W的包括凹部130的内部的表面上吸附BTBAS气体的成分。而且,在第2处理区域P2处,Ar气体被天线83的磁场进行等离子体化,上述的蚀刻气体及O2气体被该Ar气体的等离子体分别进行等离子体化。该蚀刻气体的等离子体及O2气体的等离子体之中的例如O2气体的等离子体(自由基、离子)扩散到从晶圆W的上表面侧的区域至凹部130内的下端部的区域整体范围内,氧化在该区域中的BTBAS气体的成分而生成反应生成物(硅的氧化物)。
另一方面,蚀刻气体的等离子体的寿命比O2气体的等离子体(自由基)短,因而不能在保持活性的前提下扩散至凹部130内的下端部,因而与晶圆W的上表面侧及凹部130内的上端部附近相接触。若晶圆W与该蚀刻气体的等离子体相接触,则如图16的正中央的图所示,晶圆W上的反应生成物被蚀刻,然后例如作为气体与未反应的处理气体、副产物等气体等一同排出。因而,在凹部130的内部成膜的反应生成物,上端侧比下端侧薄,因而所谓该凹部130的倒锥的程度略微缓解,使凹部130的内壁的锥角度变得近似于垂直。此时,存在有在晶圆W上的反应生成物中包含有第1处理气体、蚀刻气体中的杂质(水分、F)的情况。
接着,在第3处理区域P3处,如从图16的右数第2幅图所示,杂质从形成在晶圆W上的反应生成物中被作为HF气体等气体去除而进行改性处理。而且,若利用旋转台2的旋转,多次重复这样的BTBAS气体的吸附、BTBAS气体的氧化及蚀刻、以及反应生成物的改性处理,则凹部130的倒锥形状进一步近似于直角,或者进一步成为锥形状(上端部的开口尺寸比下端部的开口尺寸大的形状),杂质被去除(减少)并且反应生成物依次嵌入到凹部130内。因而,如图16的右侧附图所示,向凹部130嵌入薄膜结束时,能够获得在该凹部130内并不生成中空等空隙,另外可以得到不仅BTBAS气体中的杂质低而且蚀刻气体中的杂质浓度也极低的薄膜。
在此,在这样要利用例如一般的CVD法在倒锥形状的凹部130中嵌入薄膜的情况下,在遵循该凹部130的形状层叠反应生成物时,存在有在向凹部130的内部充填薄膜之前该凹部130的上端部被堵住的情况。在该情况下,在凹部130内形成空隙,因而例如设备的电阻将变得比设定值变大。另一方面,若在这样的CVD法中组合等离子体蚀刻,具体来说若重复利用CVD法的成膜工序和对凹部130内的上端部侧的等离子体蚀刻工序而将薄膜嵌入到凹部130,则有可能在等离子体蚀刻工序中例如将F作为杂质混入到薄膜内。因此,即使在凹部130嵌入薄膜之后进行退火工序等,也极难去除混入到该凹部130的内部的杂质,因而将不能够获得例如正如所设计的电特性。
在此,在本发明中,通过以依次进行多次反应生成物的形成工序、等离子体蚀刻工序、以及改性工序,从而每次形成反应生成物都进行蚀刻处理,并去除在该反应生成物的形成工序及蚀刻工序中混进反应生成物中的杂质。因此,能够形成在整个膜厚方向杂质浓度极其低的薄膜,另外从以凹部130的倒锥形状渐渐缓解的方式(以近似于垂直的方式)进行蚀刻的情况来看,能够抑制在凹部130内产生中空等空隙的情况。
在此,因为从辅助等离子体产生用气体喷嘴32供给的气体中含有O2气体,所以也可以是不从主等离子体产生用气体喷嘴32供给O2气体,在第3处理区域P3处进行反应生成物的生成(晶圆W上的BTBAS气体的氧化)和改性的。在该情况下,在第2处理区域P2处,取代由上述的CHF3气体构成的蚀刻气体,或与该蚀刻气体一同使用对Si进行蚀刻的蚀刻气体例如Br(溴)类的气体进行等离子体蚀刻处理。另外,在第2处理区域P2及第3处理区域P3中分别只进行等离子体蚀刻处理及只进行等离子体改性处理的情况下,也可以在该第2处理区域P2与第3处理区域P3之间设置第3等离子体产生部(未图示),在该第3等离子体产生部中进行BTBAS气体的氧化处理。
而且,采用以上所述的成膜装置,以在各个等离子体产生部81、82中进行互不相同种类的等离子体处理,但是也可以进行彼此相同种类的等离子体处理。具体来说,在形成硅氧化膜时,也可以从等离子体产生用气体喷嘴32、34分别供给O2气体及Ar气体,在处理区域P2、P3处分别氧化BTBAS气体并去除反应生成物中所含有的杂质。
接着,列举出在以上说明的成膜装置中的等离子体产生部81、82的另一例子。在以下的另一例子中,也可以仅采用该等离子体产生部81、82的一方或双方。图17及图18示出了仅设置一个天线83,并且使该天线83配置为俯视时呈大致方形(大致8边形)的例子。在本例子中,以从靠近开口部98的区域到离开该开口部98的区域,狭缝97宽度尺寸d1变宽,此处省略了图示。
图19表示的例子为,如图17及图18所示,使两个天线83a、83b呈大致方形的方式配置,并且与上述的图8相同地在整个旋转台2的半径方向上形成第1天线83a,另一方面将第2天线83b配置在旋转台2的外周部侧。另外,图19示出了从上方侧看顶板11的情况,示意性地描绘了天线83a、83b。以下的图20也相同。
图20示出了以使两个天线83a、83b如图17及图18呈大致方形的方式配置,并且示出了将第1天线83a配置在旋转台2的半径方向内侧,将第2天线83b配置在上述半径方向外侧的例子。在本例子中,该天线83a、83b以互相成为相同面积的方式被分别绕线。
图21示出了将上述的法拉第屏蔽95埋设在壳体90的内部的例子。具体来说,在壳体90的位于等离子体产生部81(82)的下方的部分构成为上端面可自由装卸,并在拆下该上端面的部位容纳法拉第屏蔽95。即,只要法拉第屏蔽95设置在等离子体产生部81(82)与晶圆W之间即可。
图22示出了取代将等离子体产生部81(82)及法拉第屏蔽95容纳在壳体90的内部的情况,而将该等离子体产生部81(82)及法拉第屏蔽95配置在顶板11的上方的例子。在本例子中,在顶板11的位于等离子体产生部81(82)下方的部位,利用例如石英等电介质使其与顶板11其它部位的不同,其下表面周缘部如上所述地在整个圆周方向借助于O形密封圈11d与顶板11的上述其它部分气密连接。
图23示出了取代天线83绕垂直轴线卷绕的情况,而使天线83绕水平轴线卷绕的例子。具体来说,该天线83绕沿着旋转台2的旋转方向呈圆弧状延伸的轴线卷绕。另外,图23对于天线83及法拉第屏蔽95之外的部分进行了省略。
在此,作为天线83,配置在从真空容器1的内部区域气密地划分出来的区域(壳体90的内部或顶板11上),但是也可以配置在真空容器1的内部区域。具体来说,也可以例如比顶板11的下表面略微靠下方侧配置天线83。在该情况下,为了不使天线83被等离子体蚀刻,使该天线83由例如石英等电介质涂敷表面。另外,在该情况下,相同地,为了不使法拉第屏蔽95被等离子体蚀刻,使法拉第屏蔽95在天线83与晶圆W之间由石英等电介质涂敷表面。而且,作为天线83,除了呈线圈状地卷绕的结构之外,还可以有基端侧例如从真空容器1的外侧气密地插入到该真空容器1内,并且另一端侧向中心部区域C呈直线状地延伸的结构。
另外,在以上的各个例子中,作为等离子体产生部81(82),卷绕天线83来产生电感耦合型的等离子体(ICP:Inductivelycoupledplasma),但是也可以使等离子体产生部81、82的一方为产生电容耦合型的等离子体(CCP:CapacitivelyCoupledPlasma)。具体来说,如图24~图26所示,在比等离子体产生用气体喷嘴32(34)靠旋转台2的旋转方向下游侧,作为平行电极设有一对电极141、142,该电极141、142经由支承部143从真空容器1的侧壁气密地插入。另外,在电极141、142上经由支承部143连接有匹配器84及高频电源85。另外,在该电极141、142的表面上,为了保护该电极141、142免受等离子体影响,形成有例如石英等保护覆膜。
在该电极141、142及等离子体产生用气体喷嘴32(34)的上方侧,为了抑制N2气体等向等离子体产生的区域(处理区域P2(P3))流入,设有呈大致帽形的盖构件144。该盖构件144以覆盖电极141、142及喷嘴32(34)的方式呈箱形地形成,并具有下表面侧开口的盖主体145、以及从在该盖主体145的下端面的靠旋转台2的旋转方向上游侧、下游侧及中心部区域C的部分向水平方向分别伸出的气流限制面146。图24示出了拆卸了盖构件144的状态,图25示出了拆卸了盖构件144的状态。
在这样的等离子体产生部81(82)中,利用气流限制面146抑制气体向处理区域P2(P3)进入,等离子体产生用气体在电极141、142之间等离子体化而进行等离子体处理。
在以上的各个例子中,作为构成法拉第屏蔽95的材料,以尽量透过磁场的方式,优选是比磁导率较低的材料,具体来说,可以使用银(Ag)、铝(Al)等。另外,作为法拉第屏蔽95的狭缝97的数量,若数量过少则达到真空容器1内的磁场变小,另一方面,若过多则难以制造法拉第屏蔽95,例如优选相对于长度1m天线83,狭缝97的数量为100个~500个左右。而且,以朝向旋转台2的旋转方向上游侧的方式形成等离子体产生用气体喷嘴34的气体喷出孔33,但是也可以以朝向下方侧或下游侧的方式配置该气体喷出孔33。
作为构成壳体90的材料,可以取代石英使用氧化铝(Al2O3)、氧化钇等耐等离子体蚀刻材料,也可以例如在PyrexGlass(康宁公司的耐热玻璃,注册商标)等表面涂敷该耐等离子体蚀刻材料。即,只要壳体90是由对等离子体的抗性较高并透过磁场的材料(电介质)构成即可。
另外,在法拉第屏蔽95的上方配置绝缘板94而获得了该法拉第屏蔽95与天线83的绝缘,但是也可以不配置该绝缘板94,例如以利用石英等绝缘材料覆盖天线83的方式构成。
如此,本发明的实施方式在真空容器内使旋转台旋转并对基板依次供给第1处理气体及第2处理气体,并且利用在旋转台的圆周方向上互相分开的主等离子体产生部和辅助等离子体产生部对基板分别进行等离子体处理。而且,在主等离子体产生部,设置有利用电感耦合使主等离子体产生用气体等离子体化的天线,并且在天线与基板之间配置有法拉第屏蔽,该法拉第屏蔽形成有分别与天线正交的方向上延伸的狭缝。因此,阻碍在天线上产生的电场磁场中的电场成分到达上述真空容器的内部,但能够使磁场向基板侧通过,因而能够抑制等离子体对基板的电损伤。另外,由于能够在主等离子体产生部及辅助等离子体产生部处进行互不相同种类的等离子体处理,因而能够构成对基板的处理的自由度较高的成膜装置。
相关申请的参考
本申请要求2011年9月5日在日本国特许厅提出申请的日本特许出愿2011-193046的优先权,引用日本特许出愿2011-1193046号的全部内容。

Claims (10)

1.一种在真空容器内多次进行依次供给第1处理气体和第2处理气体的循环而在基板上进行成膜处理的成膜装置,上述第1处理气体为吸附在基板上的原料气体,上述第2处理气体为用于与吸附在基板上的原料气体进行反应来生成反应生成物的反应气体,其中,该成膜装置包括:
旋转台,在其一面侧形成有用于载置基板的基板载置区域,该旋转台用于使上述基板载置区域在上述真空容器内进行公转;
第1处理气体供给部和第2处理气体供给部,其用于向在该旋转台的圆周方向上被隔离区域互相隔离开的区域分别供给第1处理气体及第2处理气体;
主等离子体产生部,其设置在设于上述真空容器的顶部的电介质上,具有包括围绕沿上下方向延伸的轴卷绕的线圈的天线,以利用电感耦合使上述第2处理气体等离子体化;
辅助等离子体产生气体供给部,其向相对于利用主等离子体产生部产生等离子体的区域的上述旋转台的旋转方向下游侧,供给用于产生用于对上述反应生成物进行改性的等离子体的辅助等离子体产生用气体;以及
辅助等离子体产生部,其设置在设于上述真空容器的顶部的电介质上,具有包括围绕沿上下方向延伸的轴卷绕的线圈的天线,以利用电感耦合使上述辅助等离子体产生用气体等离子体化;
上述主等离子体产生部以及辅助等离子体产生部分别具有:
法拉第屏蔽,其介于上述天线与进行等离子体处理的区域之间,具有在该天线延伸的方向上排列有多个沿与上述天线正交的方向延伸的狭缝的导电性的板状体,以阻止在上述天线的周围产生的电场磁场中的电场成分的通过并使磁场向基板侧通过。
2.根据权利要求1所述的成膜装置,其中,
上述第1处理气体是含硅气体,上述第2处理气体是氨气,上述反应生成物是氮化硅膜。
3.根据权利要求2所述的成膜装置,其中,
上述含硅气体是二氯硅烷气体。
4.根据权利要求1所述的成膜装置,其中,
在上述基板的表面形成有薄膜嵌入的凹部,
在从上述第2处理气体供给部供给的气体中,除了第2处理气体以外,还包含对生成在基板上的反应生成物进行蚀刻的蚀刻用的气体,该气体被主等离子体产生部等离子体化。
5.根据权利要求1至4中的任一项所述的成膜装置,其中,
利用上述主等离子体产生部产生等离子体的区域以及利用上述辅助等离子体产生部产生等离子体的区域分别被从上述顶部的下表面向下方突出的限制气体用的突起部包围。
6.根据权利要求5所述的成膜装置,其中,
分别用于上述主等离子体产生部以及上述辅助等离子体产生部的电介质隔着密封构件嵌入形成于上述真空容器的顶部的开口部,
上述限制气体用的突起部设置在上述电介质上。
7.一种在真空容器内多次进行依次供给第1处理气体和第2处理气体的循环而在基板上进行成膜处理的成膜方法,上述第1处理气体为吸附在基板上的原料气体,上述第2处理气体为用于与吸附在基板上的原料气体进行反应来生成反应生成物的反应气体,其中,该成膜方法包括:
针对在真空容器内设置的旋转台,在该旋转台的一面侧的基板载置区域上载置基板,并且使该基板载置区域进行公转的工序;
接着,向在上述旋转台的圆周方向上被隔离区域互相隔开的区域分别供给第1处理气体及第2处理气体的工序;
向设置在设于上述真空容器的顶部的电介质上的、具有包括围绕沿上下方向延伸的轴卷绕的线圈的天线的主等离子体产生部的该天线供给高频电力,利用电感耦合使上述第2处理气体等离子体化的工序;
向相对于利用上述主等离子体产生部产生等离子体的区域的上述旋转台的旋转方向下游侧,供给用于产生用于对上述反应生成物进行改性的等离子体的辅助等离子体产生用气体的工序;
向设置在设于上述真空容器的顶部的电介质上的、具有包括围绕沿上下方向延伸的轴卷绕的线圈的天线的辅助等离子体产生部的该天线供给高频电力,利用电感耦合使上述辅助等离子体产生用气体等离子体化的工序;
利用电感耦合使第2处理气体等离子体化的工序和利用电感耦合使辅助等离子体产生用气体等离子体化的工序包括:利用设在上述天线与进行等离子体处理的区域之间的、包括在该天线延伸的方向上排列多个沿与上述天线正交的方向延伸的狭缝的导电性的板状体的法拉第屏蔽,阻止在上述天线的周围产生的电场磁场中的电场成分的通过并使磁场向基板侧通过的工序。
8.根据权利要求7所述的成膜方法,其中,
上述第1处理气体是含硅气体,上述第2处理气体是氨气,上述反应生成物是氮化硅膜。
9.根据权利要求8所述的成膜方法,其中,
上述含硅气体是二氯硅烷气体。
10.根据权利要求7所述的成膜方法,其中,
在上述基板的表面形成有薄膜嵌入的凹部,
对利用主等离子体产生部产生等离子体的区域,除了供给第2处理气体以外,还供给对生成在基板上的反应生成物进行蚀刻的蚀刻用的气体。
CN201210326691.5A 2011-09-05 2012-09-05 成膜装置和成膜方法 Active CN102978586B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011-193046 2011-09-05
JP2011193046A JP5712874B2 (ja) 2011-09-05 2011-09-05 成膜装置、成膜方法及び記憶媒体

Publications (2)

Publication Number Publication Date
CN102978586A CN102978586A (zh) 2013-03-20
CN102978586B true CN102978586B (zh) 2016-03-09

Family

ID=47753469

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210326691.5A Active CN102978586B (zh) 2011-09-05 2012-09-05 成膜装置和成膜方法

Country Status (5)

Country Link
US (1) US9453280B2 (zh)
JP (1) JP5712874B2 (zh)
KR (1) KR101536805B1 (zh)
CN (1) CN102978586B (zh)
TW (1) TWI560313B (zh)

Families Citing this family (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5396264B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5661523B2 (ja) * 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5870568B2 (ja) 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
WO2014144377A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Plasma source for rotating platen ald chambers
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6146160B2 (ja) 2013-06-26 2017-06-14 東京エレクトロン株式会社 成膜方法、記憶媒体及び成膜装置
US9018111B2 (en) * 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
EP2849204B1 (de) * 2013-09-12 2017-11-29 Meyer Burger (Germany) AG Plasmaerzeugungsvorrichtung
JP6135455B2 (ja) * 2013-10-25 2017-05-31 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6248562B2 (ja) * 2013-11-14 2017-12-20 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6383674B2 (ja) * 2014-02-19 2018-08-29 東京エレクトロン株式会社 基板処理装置
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105826197A (zh) * 2015-01-08 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
GB201502453D0 (en) * 2015-02-13 2015-04-01 Spts Technologies Ltd Plasma producing apparatus
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6524753B2 (ja) * 2015-03-30 2019-06-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP6479550B2 (ja) * 2015-04-22 2019-03-06 東京エレクトロン株式会社 プラズマ処理装置
JP6468955B2 (ja) * 2015-06-23 2019-02-13 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置
WO2017014179A1 (ja) * 2015-07-17 2017-01-26 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及びプログラム
KR102080114B1 (ko) * 2015-09-21 2020-02-24 주식회사 원익아이피에스 질화막의 제조방법
JP6587514B2 (ja) * 2015-11-11 2019-10-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2017107963A (ja) * 2015-12-09 2017-06-15 東京エレクトロン株式会社 プラズマ処理装置及び成膜方法
WO2017100630A1 (en) * 2015-12-10 2017-06-15 Applied Materials, Inc. In-situ film annealing with spatial atomic layer deposition
JP6569520B2 (ja) 2015-12-24 2019-09-04 東京エレクトロン株式会社 成膜装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6523185B2 (ja) * 2016-01-29 2019-05-29 東京エレクトロン株式会社 成膜方法
JP6800004B2 (ja) * 2016-02-01 2020-12-16 東京エレクトロン株式会社 シリコン窒化膜の形成方法
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6629116B2 (ja) * 2016-03-25 2020-01-15 芝浦メカトロニクス株式会社 プラズマ処理装置
US10370763B2 (en) 2016-04-18 2019-08-06 Tokyo Electron Limited Plasma processing apparatus
JP6573575B2 (ja) * 2016-05-02 2019-09-11 東京エレクトロン株式会社 凹部の埋め込み方法
JP6602261B2 (ja) 2016-05-23 2019-11-06 東京エレクトロン株式会社 成膜方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) * 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
JP6836953B2 (ja) * 2016-12-13 2021-03-03 東京エレクトロン株式会社 窒化シリコンから形成された第1領域を酸化シリコンから形成された第2領域に対して選択的にエッチングする方法
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6728087B2 (ja) * 2017-02-22 2020-07-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6750534B2 (ja) * 2017-02-24 2020-09-02 東京エレクトロン株式会社 成膜装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102432857B1 (ko) * 2017-09-01 2022-08-16 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자의 제조 방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11551909B2 (en) * 2017-10-02 2023-01-10 Tokyo Electron Limited Ultra-localized and plasma uniformity control in a plasma processing system
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
JP6906439B2 (ja) * 2017-12-21 2021-07-21 東京エレクトロン株式会社 成膜方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN111868895A (zh) 2018-03-22 2020-10-30 株式会社国际电气 基板处理装置、半导体装置的制造方法及静电屏蔽罩
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
CN110473768A (zh) * 2018-05-09 2019-11-19 上海新微技术研发中心有限公司 氮化硅薄膜的制备方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP2020167288A (ja) * 2019-03-29 2020-10-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置のメンテナンス方法
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1554114A (zh) * 2001-02-08 2004-12-08 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN102110572A (zh) * 2009-12-25 2011-06-29 东京毅力科创株式会社 等离子处理装置

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JP3144664B2 (ja) 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US5309063A (en) * 1993-03-04 1994-05-03 David Sarnoff Research Center, Inc. Inductive coil for inductively coupled plasma production apparatus
US5619103A (en) * 1993-11-02 1997-04-08 Wisconsin Alumni Research Foundation Inductively coupled plasma generating devices
JPH08213378A (ja) 1994-11-09 1996-08-20 Hitachi Electron Eng Co Ltd プラズマcvd装置及び酸化膜の成膜方法
JP3150058B2 (ja) * 1994-12-05 2001-03-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3122601B2 (ja) 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6149760A (en) * 1997-10-20 2000-11-21 Tokyo Electron Yamanashi Limited Plasma processing apparatus
JPH11340217A (ja) * 1998-05-22 1999-12-10 Tokyo Electron Ltd プラズマ成膜方法
US6213050B1 (en) 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6451161B1 (en) * 2000-04-10 2002-09-17 Nano-Architect Research Corporation Method and apparatus for generating high-density uniform plasma
US6417626B1 (en) 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
JP3903730B2 (ja) * 2001-04-04 2007-04-11 松下電器産業株式会社 エッチング方法
JP2004031621A (ja) * 2002-06-26 2004-01-29 Mitsubishi Heavy Ind Ltd プラズマ処理装置及びプラズマ処理方法及びプラズマ成膜装置及びプラズマ成膜方法
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US7153542B2 (en) 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
KR100497748B1 (ko) 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
KR100924055B1 (ko) * 2005-02-17 2009-10-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치
KR20080014799A (ko) * 2005-04-28 2008-02-14 가부시키가이샤 피즈케믹스 에칭방법, 저유전율 유전체막의 제조방법, 다공성 부재의제조방법 및 에칭장치 및 박막 제작장치
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US8187679B2 (en) 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP4888076B2 (ja) 2006-11-17 2012-02-29 パナソニック株式会社 プラズマエッチング装置
JP4933329B2 (ja) 2007-03-30 2012-05-16 パナソニック株式会社 プラズマ処理装置
KR101115273B1 (ko) * 2007-12-20 2012-03-05 가부시키가이샤 알박 플라즈마 소스 기구 및 성막 장치
JP5310283B2 (ja) 2008-06-27 2013-10-09 東京エレクトロン株式会社 成膜方法、成膜装置、基板処理装置及び記憶媒体
JP5401871B2 (ja) * 2008-08-26 2014-01-29 株式会社デンソー 成膜装置およびそれを用いた成膜方法
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5044579B2 (ja) * 2009-01-27 2012-10-10 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置及びプログラム
JP4621287B2 (ja) * 2009-03-11 2011-01-26 株式会社イー・エム・ディー プラズマ処理装置
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
US20120160806A1 (en) * 2009-08-21 2012-06-28 Godyak Valery A Inductive plasma source
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
JP5812606B2 (ja) * 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
WO2012012381A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US9398680B2 (en) 2010-12-03 2016-07-19 Lam Research Corporation Immersible plasma coil assembly and method for operating the same
US9490106B2 (en) 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1554114A (zh) * 2001-02-08 2004-12-08 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN102110572A (zh) * 2009-12-25 2011-06-29 东京毅力科创株式会社 等离子处理装置

Also Published As

Publication number Publication date
TWI560313B (en) 2016-12-01
JP2013055243A (ja) 2013-03-21
KR101536805B1 (ko) 2015-07-14
TW201326458A (zh) 2013-07-01
KR20130026395A (ko) 2013-03-13
US20130059415A1 (en) 2013-03-07
JP5712874B2 (ja) 2015-05-07
US9453280B2 (en) 2016-09-27
CN102978586A (zh) 2013-03-20

Similar Documents

Publication Publication Date Title
CN102978586B (zh) 成膜装置和成膜方法
CN102953052B (zh) 成膜装置、基板处理装置及等离子体产生装置
CN103243314B (zh) 成膜装置
KR101563773B1 (ko) 성막 장치, 성막 방법 및 기억 매체
KR101885411B1 (ko) 기판 처리 방법 및 기판 처리 장치
KR100538424B1 (ko) 금속막, 금속막 제조 방법 및 금속막 제조 장치
CN103243309A (zh) 成膜装置及成膜方法
CN101378007B (zh) 等离子体处理装置
US20050087140A1 (en) Remote plasma apparatus for processing substrate with two types of gases
US20110045676A1 (en) Remote plasma source seasoning
JPH09237698A (ja) 誘導結合プラズマ・リアクタとその方法
CN104637769A (zh) 基板处理装置和基板处理方法
TW201342475A (zh) 以氨與三氟化氮蝕刻氧化物
KR102000318B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
KR102198727B1 (ko) 보호막 형성 방법
TWI721227B (zh) 成膜裝置及成膜方法
CN103031537A (zh) 成膜装置和基板处理装置
CN102776491B (zh) 成膜装置和成膜方法
CN110494950A (zh) 由远程氮自由基源实现的高沉积速率高质量氮化硅
US11171014B2 (en) Substrate processing method and substrate processing apparatus
US20210233778A1 (en) Etching method, substrate processing apparatus, and substrate processing system
US5562775A (en) Plasma downstream processing
KR20210097044A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템
WO2020022045A1 (ja) エッチング方法及びエッチング装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant