TWI441942B - 成膜裝置、成膜方法及記憶媒體 - Google Patents

成膜裝置、成膜方法及記憶媒體 Download PDF

Info

Publication number
TWI441942B
TWI441942B TW099110828A TW99110828A TWI441942B TW I441942 B TWI441942 B TW I441942B TW 099110828 A TW099110828 A TW 099110828A TW 99110828 A TW99110828 A TW 99110828A TW I441942 B TWI441942 B TW I441942B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
reaction
pedestal
reaction gas
Prior art date
Application number
TW099110828A
Other languages
English (en)
Other versions
TW201109465A (en
Inventor
Hitoshi Kato
Manabu Honma
Hiroyuki Kikuchi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201109465A publication Critical patent/TW201109465A/zh
Application granted granted Critical
Publication of TWI441942B publication Critical patent/TWI441942B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

成膜裝置、成膜方法及記憶媒體
本發明係關於一種於真空容器內將會相互反應之複數個反應氣體依序供給至基板表面且藉由施行該供給循環來層積出反應生成物層以形成薄膜的成膜裝置、成膜方法以及記憶有該成膜方法的記憶媒體。
隨著半導體元件圖樣之微細化,於將半導體晶圓所形成之溝槽等的凹部覆蓋之步驟中,需要有良好之覆蓋特性。因此,對於縱寬比較大之凹部,已知一種在以CVD(Chemical Vapor Deposition)法堆積出薄膜而覆蓋凹部之後,例如藉由退火處理來讓薄膜產生流動而將內部所形成之空洞填補的方法。但是,為了要讓已成膜後之薄膜流動化而將凹部內所形成之空洞填補,便需要高加熱溫度與長處理時間。因此會是產能降低的因素之一,又,會有對已成形之元件構造施加過大的熱歷程(Heat history)之虞。
另一方面,作為CVD法以外之成膜方法,已知一種針對晶圓於真空氣氛下依序供給至少2種反應氣體藉以形成薄膜的方法,該方法被稱作例如ALD(Atomic Layer Deposition)或MLD(Molecular Layer Deposition)等。該成膜方法可根據循環次數來高精度地控制膜厚,同時可提供一種膜質之面內均勻性較高的膜。又,該成膜方法能依序層積反應生成物,故可提高堆積形成之膜的密度,而且,能對應下底層之形狀進行(保形;conformal)堆積。
實施ALD法用的裝置例如專利文獻1~8所揭露。概略說明有關該等裝置,該裝置之真空容器內係設置有:將複數片晶圓沿圓周方向(迴轉方向)排列載置用的載置台、以及面向該載置台般地設置於真空容器上部以將處理氣體(反應氣體)供給至晶圓的複數個氣體供給部。
然後,對於載置台所載置之晶圓進行加熱,同時讓載置台與前述氣體供給部繞鉛直軸進行相對迴轉。又,從複數個氣體供給部將例如前述第1反應氣體及第2反應氣體各自供給至晶圓表面,同時在供給反應氣體之氣體供給部之間設置物理性的分隔壁,抑或噴出非活性氣體作為氣幕,藉以於真空容器內區分出由第1反應氣體所形成的處理區域、以及由第2反應氣體所形成的處理區域。
如此,雖係將複數種類之反應氣體同時供給至共通的真空容器內,但由於係使得該等反應氣體不會於晶圓上相互混合般而各自劃分成處理區域,故便能針對載置台上之晶圓,例如間隔有前述分隔壁或氣幕而依序供給第1反應氣體及第2反應氣體。因此,在例如切換供給真空容器內的反應氣體種類時,無需對真空容器內氣氛進行置換,又能高速地切換供給至晶圓的反應氣體,故可快速地進行ALD。
專利文獻9係記載了在藉由ALD法形成SiO2 絕緣膜時,於供給Si原料氣體之後,供給臭氧氣體,其次供給水蒸氣的技術。
專利文獻1:美國專利公報第6,634,314號
專利文獻2:日本專利特開2001-254181號公報:圖1及圖2
專利文獻3:日本專利第3144664號公報:圖1、圖2、請求項1
專利文獻4:日本專利特開平4-287912號公報
專利文獻5:美國專利公報第7,153,542號:圖8(a)、(b)
專利文獻6:日本專利特開2007-247066號公報:段落0023~0025、0058、圖12及圖18
專利文獻7:美國專利公開公報2007-218701號
專利文獻8:美國專利公開公報2007-218702號
專利文獻9:日本專利特開2006-269621:段落0018、圖1
由於ALD法(或MLD法)能保形地進行堆積,相較於會在凹部上部形成突出(over hang)形狀(或breadloaf形狀)的化學氣相沉積(CVD)法,其埋覆性較優異。但是,在埋覆縱寬比非常大之凹部或具倒錐形狀的凹部時,即使是ALD法也會有產生空洞(void)之虞。因此,希望有一種在前述凹部之情況亦能減少空洞的ALD法。又,前述ALD法中,例如有效率地低減薄膜中所包含之有機物等不純物的技術亦為必要。前述專利文獻1~9並未揭露能對應前述要求的技術。
本發明有鑑於前述事情,提供一種成膜裝置、成膜方法及記憶有該成膜方法之記憶媒體,係於真空容器內將會相互反應之至少2種反應氣體依序供給至基板表面且藉由實施該供給循環來層積反應生成物層以形成薄膜時,具有能良好地埋覆該凹部的特性且能獲得不純物較少之膜。
本發明之第1樣態中,提供一種於真空容器內之台座上載置有基板,同時讓台座與各自供給會相互反應之至少2種反應氣體的複數個反應氣體供給機構進行相對迴轉,藉以對基板依序供給至少2種反應氣體,且藉由實施該供給循環來層積反應生成物層以形成薄膜的成膜裝置。該成膜裝置中具備有:基板載置區域,係設置用以於真空容器內之台座表面載置基板;迴轉機構,係讓台座與複數個反應氣體供給機構進行相對迴轉,以使得基板依序地移動至從複數個反應氣體供給機構各自供給有反應氣體的複數個處理區域;第1反應氣體供給機構,係面向台座上之基板載置區域所設置以供給第1反應氣體而讓該第1反應氣體吸著於基板上;補助氣體供給機構,係面向台座上之基板載置區域且於台座之圓周方向上較第1反應氣體供給機構更遠離而設置在相對於複數個氣體供給機構之台座相對迴轉方向下游側處,用以將會與吸著於基板之第1反應氣體相互反應而產生具有流動性之中間生成物的補助氣體供給至基板;第2反應氣體供給機構,係面向台座上之基板載置區域且於台座之圓周方向上較補助氣體供給機構而設置於相對迴轉方向下游側處,用以將與基板上之中間生成物相互反應以產生反應生成物的第2反應氣體供給至基板;以及加熱機構,係面向台座上之基板載置區域且於台座之圓周方向上較第2反應氣體供給機構而設置於相對迴轉方向下游側以及較第1反應氣體供給機構而設置於相對迴轉方向上游側處,來對基板加熱以使得反應生成物緻密化。
本發明之第2樣態中,提供一種將基板載置真空容器內的台座上,同時讓台座與各自供給會相互反應之至少2種反應氣體的複數個反應氣體供給機構進行相對迴轉,藉以對基板依序供給至少2種反應氣體,且藉由實施該供給循環來層積反應生成物層以形成薄膜的成膜方法。該成膜方法中包含有下述步驟:將基板載置於真空容器內所設置之台座的基板載置區域處,讓該台座與複數個反應氣體供給機構進行相對迴轉;從面向台座上之基板載置區域所設置之第1反應氣體供給機構朝向基板表面供給第1反應氣體,讓該基板表面吸著第1反應氣體;從面向台座上之基板載置區域且於台座之圓周方向上較第1反應氣體供給機構更遠離而設置在相對於複數個氣體供給機構之台座相對迴轉方向下游側處的補助氣體供給機構,將補助氣體供給至基板表面,讓補助氣體與吸著於該基板表面之第1反應氣體相互反應而產生具流動性的中間生成物;從面向台座上之基板載置區域且於台座之圓周方向上較補助氣體供給機構而設置於相對迴轉方向下游側處的第2反應氣體供給機構,將第2反應氣體供給至基板表面,讓該第2反應氣體與基板上之中間生成物相互反應以產生反應生成物;藉由面向台座上之基板載置區域且於台座之圓周方向上較第2反應氣體供給機構而設置於相對迴轉方向下游側以及較第1反應氣體供給機構而設置於相對迴轉方向上游側處的加熱機構,來加熱基板以使得反應生成物緻密化。
本發明之第3樣態中,提供一種收納有可應用在於真空容器內之台座上載置有於表面形成有凹部的基板,同時讓台座與各自供給會相互反應之至少2種反應氣體的複數個反應氣體供給機構進行相對迴轉,藉以對基板依序供給至少2種反應氣體,且藉由實施該供給循環來層積反應生成物層以形成薄膜的成膜裝置中之電腦程式的記憶媒體。該電腦程式係實施第2樣態成膜方法的步驟所組成。
依本發明之一實施形態,係於所謂的ALD法(或MLD法)中,於形成有基板載置區域之台座的圓周方向上,排列形成有:將第1反應氣體供給至基板上以吸著該第1反應氣體的處理區域:供給能與吸著於基板上之第1反應氣體相互反應以產生具流動性的中間生成物之補助氣體的處理區域;供給能與中間生成物相互反應以產生反應生成物之第2反應氣體的處理區域;以及進行退火處理以使得反應生成物緻密化的加熱區域。台座與反應氣體供給部等會進行相對迴轉,使得基板載置區域依序移動至該等區域。因此,於ALD法中能使得段階性地層積出的中間生成物或反應生成物層適當地流動,故可使得中間生成物或反應生成物快速進行流動。其結果,可獲得良好之埋覆特性。又,對於ALD法中所段階性地層積出的中間生成物或反應生成物層適當地進行加熱,故可獲得不純物濃度較低之良好膜質的薄膜。
又,於每次循環皆讓中間生成物或反應生成物層流動,則所需流動量較少,即使藉由前述相對迴轉而使得基板停留在供給有補助氣體之處理區域內的時間較短,亦可讓中間生成物或反應生成物充分地進行流動。
以下,參考添附圖式來說明非限定本發明之範例的實施形態。添附全部圖式中,對於相同或相對應之組件或部件,則賦予相同或相對應的參照符號,並省略重複說明。
[第1實施形態]
本發明第1實施形態之成膜裝置如圖1~圖3所示,具備有:平面形狀約為圓形的扁平真空容器1、以及設置於該真空容器1內且於該真空容器1中心處具有迴轉中心的迴轉台2。真空容器1具備有:收納該迴轉台2用之約略杯狀的容器本體12、以及能氣密地密封該容器本體12上方面之開口部般而形成為圓板狀的頂板11。該頂板11會經由環狀設置於容器本體12上方面周緣部之密封組件(例如O型環13)而氣密地連接至容器本體12側,並可藉由圖中未顯示之開閉機構來昇降以進行開/閉的結構。
迴轉台2係以中心部固定於圓筒形狀軸心部21處,該軸心部21則固定於沿鉛直方向延伸之迴轉軸22的上端處。該迴轉軸22係貫穿真空容器1底面部14,其下端則安裝在能讓該迴轉軸22繞鉛直軸迴轉(本範例為順時針迴轉)之迴轉機構(驅動部23)處。迴轉軸22及驅動部23被收納在於上方面形成有開口之筒狀殼體20內。該殼體20之上方面所設置的凸緣部分係密封地安裝在真空容器1之底面部14之下方面處,以讓殼體20之內部氣氛與外部氣氛分離。
迴轉台2表面部係如圖2及圖3所示,設置有沿著迴轉方向(圓周方向)載置複數片(例如5片)基板(半導體晶圓W;以下稱作「晶圓」)用的圓形狀凹部24,該凹部24係會隨著迴轉台2之迴轉而以該迴轉台2之迴轉中心作為中心繞鉛直軸進行公轉的結構。另外,圖3為求方便僅於1個凹部24處繪出有晶圓W。
圖4係將迴轉台2沿著同心圓裁切且朝橫向展開後的展開圖。如圖4(a)所示,凹部24係具有較晶圓W直徑稍大(例如稍大4mm)的直徑、以及相等於晶圓W厚度的深度。因此,將晶圓W載置於凹部24時,晶圓W表面與迴轉台2表面(未載置有晶圓W之區域)為一致。晶圓W表面與迴轉台2表面之間的高度差過大時,會因該段差部分而產生壓力變動,因此就讓膜厚之面內均勻性一致之觀點來看,使得晶圓W表面與迴轉台2表面之高度一致者較佳。晶圓W表面與迴轉台2表面之高度一致者係指其為相同高度或兩面之差在5mm以內,較佳地,應根據加工精度等來盡可能地使得兩面之高度差接近於零。凹部24底面形成有貫通孔(圖中未顯示),能讓支撐晶圓W內面而昇降該晶圓W用之例如後述3根昇降銷16(參考圖10)貫穿。
為了讓晶圓W定位,使其不會因迴轉台2迴轉時的離心力而飛出,便設置凹部24來作為基板載置區域。基板載置區域並不限為凹部,例如亦可為於迴轉台2表面沿著晶圓W之圓周方向排列有複數個導引晶圓W周緣的導引組件之結構,抑或於迴轉台2設置有靜電夾具等夾持機構的結構。設置夾持機構之情況,藉由該吸著而載置有晶圓W之區域即為基板載置區域。
如圖2、圖3及圖5所示,於面向迴轉台2之凹部24所通過區域的各個上方位置處,沿真空容器1之圓周方向(迴轉台2迴轉方向)相互間隔般地各自設置有例如由石英所組成之第1反應氣體噴嘴31與第2反應氣體噴嘴32、2根分離氣體噴嘴41與42、以及補助噴嘴200。本範例中,係從後述之搬送口15開始順時針(迴轉台2迴轉方向)般地,依序排列有分離氣體噴嘴41、第1反應氣體噴嘴31、分離氣體噴嘴42、補助噴嘴200、以及第2反應氣體噴嘴32。該等噴嘴41、31、42、200、32係從約略面向搬送口15之位置處開始至接近搬送口15迴轉方向上游側位置而依序安裝在真空容器1之側壁處。反應氣體噴嘴31與32、補助噴嘴200以及分離氣體噴嘴41與42係例如從真空容器1之外周壁朝向迴轉台2之迴轉中心且面向晶圓W般地水平延伸,作為其基端部之氣體導入埠31a、32a、200a、41a、42a則貫穿該外周壁。
反應氣體噴嘴31、反應氣體噴嘴32、以及補助噴嘴200係各自為第1反應氣體供給機構、第2反應氣體供給機構、以及補助氣體供給機構,分離氣體噴嘴41、42則為分離氣體供給機構。該等噴嘴31、32、200、41、42係安裝在真空容器1側壁之複數位置所形成的貫通孔100處。另外,未安裝有噴嘴31、32、200、41、42的貫通孔100則藉由圖中未顯示之覆蓋組件加以氣密式密閉。
反應氣體噴嘴31、32係藉由各自介設有圖中未顯示之閥或流量調整部之氣體供給管31b、32b來各自供給作為第1反應氣體之BTBAS(二(特丁胺基)矽烷;SiH2 (NH-C(CH3 )3 )2 )氣體以及供給作為第2反應氣體之O3 (臭氧)氣體的結構。補助噴嘴200係藉由介設有圖中未顯示之閥或流量調整部之氣體供給管200b來供應具有矽烷醇化用氫氧基(OH基)之補助氣體(例如醇(R-OH、R:烷基)或純水(H2 O)、抑或為過氧化氫水(H2 O2 )),本範例中則為供給有乙醇(C2 H5 OH)氣體之結構。又,分離氣體噴嘴41、42係藉由介設有圖中未顯示之閥或流量調整部之氣體供給管來供給作為分離氣體之N2 氣體(氮氣)的結構。
反應氣體噴嘴31、32係沿噴嘴之長度方向(迴轉台2之半徑方向)以例如10mm之間隔而等間隔地排列設置有朝下方側噴出反應氣體用之口徑例如約0.5mm的氣體噴出孔33。又,補助噴嘴200係沿噴嘴之長度方向(迴轉台2之半徑方向)以例如10mm之間隔而等間隔地排列設置有朝下方側噴出反應氣體用之口徑例如約0.5mm的氣體噴出孔201。分離氣體噴嘴41、42則沿長度方向以例如10mm左右之間隔而等間隔地排列設置有朝下方側噴出分離氣體用之口徑例如約0.5mm的氣體噴出孔40。
反應氣體噴嘴31、32之氣體噴出孔33與晶圓W之間的距離為例如1~4mm,較佳地為2mm。補助噴嘴200之氣體噴出孔201與晶圓W之間的距離為例如1~4mm,較佳地為2mm。又,分離氣體噴嘴41、42之氣體噴出孔40與晶圓W之間的距離為例如1~4mm,較佳地為3mm。反應氣體噴嘴31之下方區域係用以讓BTBAS氣體吸著於晶圓W,亦稱作第1處理區域91;反應氣體噴嘴32之下方區域係用以讓O3 氣體吸著於晶圓W,亦稱作第2處理區域92。又,補助噴嘴200之下方區域係用以讓乙醇氣體與被吸著於晶圓W上之BTBAS氣體相互反應以產生中間生成物的補助(處理)區域90。
分離氣體噴嘴41、42係用以形成分離區域D,以分離第1處理區域91、與補助區域90及第2處理區域92,該分離區域D處之真空容器1之頂板11如圖2、圖4所示,設置有凸狀部4。凸狀部4之上方面形狀呈扇形,其頂部位於真空容器1之中心位置,圓弧則沿著容器本體12之內周壁附近而設置。分離氣體噴嘴41、42會被收納在位於該凸狀部4之圓周方向中央處且沿該圓之半徑方向延伸所形成的溝部43內。即,從分離氣體噴嘴41(42)之中心軸至凸狀部4之扇型兩邊緣(迴轉台2之迴轉方向上游側邊緣及下游側邊緣)的距離係設定為相同長度。
另外,溝部43於本實施形態中係將凸狀部4二等分般而形成的,但是於其他實施形態中,例如從溝部43所見,亦可使得凸狀部4之迴轉台2迴轉方向上游側較迴轉方向下游側更寬廣般地來形成溝部43。
因此,分離氣體噴嘴41、42之迴轉方向兩側存在有凸狀部4下方面之例如平坦的較低頂面44(第1頂面),該頂面44之迴轉方向兩側則存在有較頂面44更高之頂面45(第2頂面)。該凸狀部4之功能在於形成作為分離空間之狹隘空間,能阻止第1反應氣體及第2反應氣體侵入至其與迴轉台2之間處以阻止該等反應氣體相互混合。
即,以包含有分離氣體噴嘴41之分離區域D為例,可阻止來自迴轉台2迴轉方向上游側之乙醇氣體及O3 氣體的侵入,又,可阻止來自迴轉方向下游側之BTBAS氣體的侵入。所謂「阻止氣體侵入」係指從分離氣體噴嘴41噴出之分離氣體(N2 氣體)會於第1頂面44與迴轉台2表面之間處擴散,於該範例中則會朝鄰接於第1頂面44之第2頂面45下方側空間噴出,藉以使得來自鄰接空間之氣體無法侵入。接著,所謂「氣體無法侵入」並非單指完全無法從鄰接空間侵入凸狀部4下方側空間之狀態,亦指即使多少有侵入,但仍可確保各自從兩側侵入之乙醇氣體及O3 氣體、與BTBAS氣體不會於凸狀部4內相互混合之狀態,只要能獲得前述作用,即可發揮分離區域D之功用,即,發揮將補助區域90氣氛及第1處理區域91氣氛、與第2處理區域92氣氛分離的分離作用。因此,狹隘空間之狹隘大小程度應設定為讓狹隘空間(凸狀部4下方空間)與鄰接空間區域(本範例指第2頂面45之下方空間)之間的壓力差達可確保「氣體無法侵入」作用的程度,其具體尺寸會因凸狀部4面積等有所不同。又,已吸著於晶圓W之氣體當然能通過分離區域D內,阻止氣體侵入係指氣相中的氣體。此處,由於乙醇氣體與O3 氣體之間未設置有分離區域D,該等兩氣體在到達後述之排氣口62之過程中雖會相互混合,但不會對晶圓W造成不良影響。
又,迴轉台2之迴轉方向上,於第2反應氣體噴嘴32下游側(第2反應氣體噴嘴32與該第2反應氣體噴嘴32迴轉方向下游側之分離區域D之間處)設置有沿迴轉台2半徑方向延伸的加熱機構(加熱燈210)。該加熱燈210係例如由棒狀的紅外線燈所組成,如圖6所示,係設置在沿真空容器1頂部朝迴轉台2半徑方向延伸所形成的燈罩211內。該燈罩211於上部側設置有反射鏡215,下面側則設置有能氣密地劃分燈罩211內氣氛與真空容器1內氣氛用的光穿透窗212。該加熱燈210兩端則設置有兼作為電極部的密封組件213、213,該密封組件213、213各自連接有例如從真空容器1之頂板11上方側延伸所形成的供電線214、214。該圖6中之參照符號217係經由供電線214、214及密封組件213、213而供電給該加熱燈210用的電源,參照符號216則為從兩側支撐該加熱燈210用的支撐組件。又,該加熱燈210會根據圖中未顯示之熱電偶等溫度檢出部之量測結果,來控制對晶圓W加熱至適合進行後述加熱處理(緻密化處理)之溫度(例如約100℃~約450℃,較佳地為約350℃)。另外,前述圖2中,係省略繪出該燈罩211。
本實施形態之成膜裝置中,針對直徑300mm之晶圓W進行處理之情況,關於凸狀部4,在朝向外周側而遠離迴轉台2迴轉中心140mm之部位(與後述突出部5之邊界部位)處的圓周方向長度(與迴轉台2同心圓之圓弧長度)可為例如約146mm,在晶圓W載置區域(凹部24)之最外側部位處的圓周方向長度可為例如約502mm。另外,如圖4(a)所示,於外側部位處,將各自位於分離氣體噴嘴41(42)兩側之凸狀部4的圓周方向長度定義為L,則長度L為246mm。
又,如圖4(a)所示,凸狀部4下方面(即頂面44)距迴轉台2表面的高度h可為例如0.5mm至10mm,較佳地為約4mm。此時,迴轉台2之轉速可為例如約1rpm~約500rpm。較佳地,為了確保分離區域D之分離功能,應根據迴轉台2之迴轉速度等,並藉由例如實驗等來設定凸狀部4之大小與凸狀部4下面(第1頂面44)與迴轉台2表面之間的高度h。另外,作為分離氣體並不限於氮(N2 )氣體,亦可使用氬(Ar)氣體等非活性氣體等,亦可無須限定於前述氣體而可使用氫(H2 )氣等,只要是不會對成膜處理造成影響之氣體,關於氣體種類並無特別限定。
另一方在,頂板11之下方面係面向於迴轉台2之軸心部21外周側部位般地,且沿著軸心部21外周緣而設置有突出部5。該突出部5係與凸狀部4之迴轉台2迴轉中心側部位連續形成,其下方面則形成於與凸狀部4下方面(頂面44)相同的高度。圖2及圖3係顯示將凸狀部4留在真空容器1內之情況下,將頂板11取出後之真空容器1的內部結構。另外,突出部5與凸狀部4不一定要是一體成形,亦可為個別之個體。
真空容器1於圓周方向上存在有前述之第1頂面44、以及較該頂面44更高的第2頂面45。圖1係設置有較高頂面45之區域的縱剖面圖,圖7係設置有較低頂面44之區域的縱剖面。扇型凸狀部4之周緣部(真空容器1之外緣側部位)如圖2及圖7所示係形成有面向迴轉台2外端面般而彎曲呈L型的彎曲部46。扇型凸狀部4係設置於頂板11側,而可從容器本體12拆下之結構,故彎曲部46外周面與容器本體12之間會有微小間隙。該彎曲部46亦與凸狀部4相同,是為了防止反應氣體從兩側侵入並防止兩反應氣體相互混合之目的所設置,彎曲部46內周面與迴轉台2外端面之間的間隙、以及彎曲部46外周面與容器本體12之間的間隙,係與迴轉台2表面相對之頂面44高度h設定為相同尺寸。本範例中,從迴轉台2表面側區域觀之,彎曲部46內周面係構成真空容器1之內周壁。
容器本體12之內周壁於分離區域D處,雖如圖7所示般係接近至彎曲部46外周面而垂直形成,但於分離區域D以外之部位處,係如圖1所示般從面向例如迴轉台2外端面之部位橫跨至底面部14而朝外方側凹陷。以下,便將該凹陷所劃定形成的區域稱作第1排氣區域E1及第2排氣區域E2。連通至第1處理區域91的第1排氣區域E1下方設置有第1排氣口61(圖1),連通至第2處理區域92的第2排氣區域E2下方則形成第2排氣口62(圖3)。第1排氣口61及第2排氣口62如前述圖1所示,係經由介設有閥65之排氣路63而連接至例如真空泵之真空排氣單元64。
從上方觀之,該等排氣口61、62係相對於分離區域D而設置在迴轉台2迴轉方向兩側,以使得分離區域D確實地發揮分離作用。詳細說明,從迴轉台2之迴轉中心觀之,第1處理區域91與相對於該第1處理區域91而鄰接於例如迴轉方向下游側的分離區域D之間處形成有第1排氣口61;從迴轉台2之迴轉中心觀之,第2處理區域92與相對於該第2處理區域92而鄰接於例如迴轉方向下游側的分離區域D之間處則形成有第2排氣口62。該排氣口61專門用以進行BTBAS氣體之排氣,又,排氣口62專門用以進行乙醇氣體與O3 氣體之排氣。該範例中,一側之排氣口61係設置在第1反應氣體噴嘴31與相對於該反應氣體噴嘴31而鄰接於迴轉方向下游側的分離區域D之第1反應氣體噴嘴31側邊緣延長線之間處;又,另一側之排氣口62則設置在第2反應氣體噴嘴32與相對於該反應氣體噴嘴32而鄰接於迴轉方向下游側的分離區域D之第2反應氣體噴嘴32側邊緣延長線之間處。即,第1排氣口61會設置在圖3中一點鏈線所示而通過迴轉台2中心與第1處理區域91的直線L1、以及通過迴轉台2中心與鄰接於第1處理區域91下游側的分離區域D上游側邊緣之直線L2之間處;第2排氣口62則設置在圖3中二點鏈線所示而通過迴轉台2中心與第2處理區域92的直線L3、以及通過迴轉台2中心與鄰接於第2處理區域92下游側的分離區域D上游側邊緣之直線L4之間處。
另外,本實施形態中雖設置有2個排氣口61、62,但於其他實施形態中,亦可設置有3個排氣口。例如在包含分離氣體噴嘴42之分離區域D、與相對於該分離區域D而鄰接於迴轉台2迴轉方向下游側之第2反應氣體噴嘴32之間處,追加設置有排氣口。此時,亦可於補助噴嘴200與第2反應氣體噴嘴32之間的區域設置排氣口。再者,亦可於補助噴嘴200與第2反應氣體噴嘴32之間的區域形成分離區域D,以各自專門用以排出乙醇氣體與O3 氣體。又,亦可設置有4個以上之排氣口。
又,本實施形態中,排氣口61、62係設置於較迴轉台2更低位置,並從真空容器1內周壁與迴轉台2周緣之間的間隙處進行真空容器1之排氣,但是於其實施形態中,亦可設置於真空容器1側壁。又,將排氣口61、62設置於真空容器1側壁之情況,亦可設置於較迴轉台2更高位置處。藉由如前述般設置排氣口61、62,會讓迴轉台2上之氣體朝向迴轉台2外側流動,故相較於從面向迴轉台2之頂面處進行排氣之情況,就抑制微粒揚起之觀點來看,本構造較為有利。
迴轉台2與真空容器1的底面部14之間的空間如圖1及圖8所示,係收納有加熱機構(加熱單元7),而可經由迴轉台2來將迴轉台2上之晶圓W加熱至製程配方所決定之溫度的結構。迴轉台2周緣附近之下方側,圍繞加熱單元7全周般地設置有遮蔽組件71,以區分從迴轉台2上方空間至排氣區域E處的氣氛、與收納有加熱單元7處的氣氛。該遮蔽組件71之上緣朝外側彎曲形成凸緣形狀,該彎曲面與迴轉台2下面之間的間隙較小,可抑制來自外側之氣體侵入至遮蔽組件71內。
較收納有加熱單元7之空間(加熱單元收容空間)更靠近迴轉中心之部位處的底面部14會接近至迴轉台2下方面中心部附近的軸心部21而於其間形成狹窄空間,又,關於貫穿底面部14之迴轉軸22的貫通孔,其內周面與迴轉軸22之間的間隙亦變得狹窄,該等狹窄空間會連通至殼體20內。接著,殼體20設置有將沖洗氣體(N2 氣體)供給至狹窄空間內以進行沖洗用的沖洗氣體供給管72。又,真空容器1之底面部14則於加熱單元7下方側位置在圓周方向的複數部位處,設置有對加熱單元7之設置空間進行沖洗用的沖洗氣體供給管73。
藉由設置前述沖洗氣體供給管72、73,如圖9中沖洗氣體氣流之箭頭所示,能針對從殼體20至加熱單元收納空間之空間以N2 氣體來進行沖洗,該沖洗氣體會從迴轉台2與遮蔽組件71之間的間隙經由排氣區域E而排出至排氣口61、62。藉此,可防止BTBAS氣體或O3 氣體(乙醇氣體)從前述第1處理區域91與第2處理區域92中任一側經由迴轉台2下方而流入另一側,故該沖洗氣體亦可發揮分離氣體之功用。
又,真空容器1之頂板11中心部連接有分離氣體供給管51,以將分離氣體(N2 氣體)供給至頂板11與軸心部21之間的空間52之結構。供給至該空間52的分離氣體會經由突出部5與迴轉台2之間的狹窄間隙50而沿著迴轉台2晶圓載置區域側表面朝向周緣噴出。由於該突出部5所包圍之空間係充滿有分離氣體,故可防止反應氣體(BTBAS氣體與O3 氣體(乙醇氣體))經由迴轉台2中心部而於第1處理區域91與第2處理區域92之間處相互混合。即,該成膜裝置中具備有中心部區域C,其係用以分離第1處理區域91氣氛、與第2處理區域92氣氛及補助區域90氣氛,而由迴轉台2迴轉中心部與真空容器1所劃分形成,受到分離氣體所沖洗,且沿迴轉方向形成有會朝迴轉台2表面噴出分離氣體的噴出口。此處所稱噴出口係相當為突出部5與迴轉台2之間的狹窄間隙50。
再者,真空容器1側壁如圖2、圖3及圖10所示,係形成有於外部搬送手臂10與迴轉台2之間進行晶圓W傳遞用的搬送口15,該搬送口15可藉由圖中未顯示之閘閥來進行開啟/關閉。又,迴轉台2中的晶圓載置區域(凹部24)係在面向該搬送口15之位置處,進行與搬送手臂10之間的晶圓W傳遞,因此於迴轉台2下方側之對應於該傳遞位置的部位處,設置有貫穿凹部24以從內面將晶圓W抬起而進行傳遞用的昇降銷16之昇降機構(圖中未顯示)。
又,該成膜裝置如前述圖1所示,係具備有控制裝置整體動作用之由電腦所組成的控制部80。該控制部80具備有CPU、記憶體及處理程式。該記憶體會依配方而設置有寫入處理條件用的區域,該處理條件包含:自噴嘴31、32、200、41、42所供給之BTBAS氣體、O3 氣體、乙醇氣體及N2 氣體流量;真空容器1內之處理壓力;供給至加熱單元7及加熱燈210的電流值(晶圓W加熱溫度)等。該處理程式係由將寫入於該記憶體之配方讀出、依配方將控制訊號傳送給成膜裝置各部、再藉由後述各步驟來進行晶圓W處理般的指令所組合而成。該程式可從例如為硬碟、光碟、磁光碟、記憶卡、軟碟等記憶媒體(記憶部85)而安裝至控制部80內。
其次,參考圖11~圖14來說明前述第1實施形態的作用。首先,說明該成膜裝置中形成薄膜之晶圓W,該晶圓W表面係平行地形成有複數條例如溝狀之凹部230,圖11係形成有凹部230之晶圓W的表面部之部份剖面。該凹部230之縱寬比為3~50左右。該凹部(圖樣)230係用以形成例如STI(Shallow Trench Isolation)之構造,實際上係形成於矽基板。又,該圖樣係使用例如層積於晶圓W上層之遮蔽層並藉由例如光微影製程等所形成,故該凹部230會由於光微影製程處理的誤差等,有時會形成上端側開口寬度較下端側開口寬度更寬之錐部233、或上端側開口寬度較下端側開口寬度更狹的倒錐部234。圖11係誇張地記載了參差不齊地形成有前述凹部230形狀之情況。
其次,如以下來說明對該晶圓W進行之成膜處理。首先,將圖中未顯示之閘閥開啟,從成膜裝置外部藉由搬送手臂10經搬送口15將晶圓W傳遞給迴轉台2之凹部24內。該傳遞係當凹部24停止在面向搬送口15之位置時,藉由搬送手臂10將晶圓W搬入至昇降銷16之上方位置,其次讓昇降銷16上昇而接收該晶圓W來進行。然後,搬送手臂10會退出至真空容器1外部,且昇降銷16下降而將晶圓W收納至凹部24內。間歇性地迴轉該迴轉台2並進行前述之晶圓W傳遞,以於迴轉台2之5個凹部24內各自載置有晶圓W。接下來,將迴轉台2以特定迴轉速度(例如240rpm)順時針迴轉,將閥65全開而將真空容器1內抽真空,同時藉由加熱單元7來將晶圓W加熱至設定溫度(例如350℃)。又,供電給加熱燈210,藉此僅針對通過其下方之晶圓W的最上層表面加熱至例如350℃以上。
其次,從分離氣體噴嘴41、42各自以例如10000sccm、10000sccm將N2 氣體供給至真空容器1內,從分離氣體供給管51及沖洗氣體供給管72亦會以特定流量將N2 氣體供給至中心部區域C及前述狹窄空間內,調整閥65以將真空容器1內部維持於特定壓力。接下來,從第1反應氣體噴嘴31及第2反應氣體噴嘴32各自以例如200sccm、10000sccm將BTBAS氣體及O3 氣體供給至真空容器1內,並從補助噴嘴200將乙醇氣體以特定流量(例如100sccm)供給至真空容器1內。
接著,晶圓W會隨著迴轉台2之迴轉,依序通過第1處理區域91、補助區域90及第2處理區域92。晶圓W通過第1處理區域91時,該晶圓W表面會吸著1層抑或複數層BTBAS氣體分子層。圖12係將矽氧化膜埋設於倒錐狀凹部230之樣態,圖12(a)中BTBAS氣體之分子層241厚度係求方便而誇張地描繪。其次,當該晶圓W通過補助區域90時,吸著於晶圓W表面之BTBAS氣體分子層241會依下述反應式(1)進行反應(矽烷醇化),產生t-丁胺(CH3 C-NH2 )與作為中間生成物的矽氧烷聚合體(-(Si-O)n-)。
BTBAS+C2 H5 OH→(-(Si-O)n-)+CH3 C-NH2 ↑ 式(1)
該矽氧烷聚合體為叢聚狀(cluster),並不會強力地吸著於晶圓W上,因此於晶圓W表面(圖樣內部)之粘性高,且易流動。因此,如圖12(b)所示,吸著於凹部230內壁之矽氧烷聚合體會因重力作用而流動使得下方側加厚,故例如於逆錐狀凹部230之情況,會使得內壁接近垂直,即能緩和其下端寬廣之程度。又,與該矽氧烷聚合體一同產生之有機物會例如氣化而朝向晶圓W上方排出。
然後,當該晶圓W通過第2處理區域92時,晶圓W表面之前述矽氧烷聚合體會受到氧化,而形成包含矽與氧之反應生成物(例如膜厚0.1nm左右之矽氧化膜(SiO2 膜)242)。又,與矽氧化膜242一同產生之有機物等不純物則會例如氣化而朝向晶圓W上方排出。此時,由於反應前之矽氧烷聚合體具流動性,故藉由前述循環所形成之矽氧化膜242亦同樣會流動。接下來,晶圓W便會到達加熱燈210下方區域,但因迴轉台2會迴轉故會立刻又朝向下游側移動。因此,自加熱燈210對晶圓W供給輻射熱之時間很短,但晶圓W表層部分會一口氣上昇至例如350℃,其結果,前述循環所形成之矽氧化膜242,膜中會形成多數Si-O鍵結,Si-OH鍵結較少,且會因所謂之熱緊固(THERMAL TIGHTENING)而使鍵結強化且緻密化。然後,當晶圓W從加熱燈210下方區域朝下游側移動時,晶圓W表層部會因例如下游側分離區域D所吹拂之N2 氣體而降溫,便可於前述般緩和下端寬廣之狀態下固化。
再者,藉由加熱燈210來對晶圓W加熱,故即使於矽氧化膜242內殘留有機物等不純物,亦會被氣化而從矽氧化膜242脫離而排出。如此一來,藉由進行多數次(例如20次)之迴轉台2迴轉(循環),便能反覆進行:產生分子層241、產生矽氧烷聚合體並流動、形成反應生成物(矽氧化膜242)並流動、以及矽氧化膜242鍵結緻密化。能如圖12(c)所示,段階性地緩和倒錐形狀,如圖12(d)及圖13所示,便能於凹部230內埋設有矽氧化膜242。如前述,作為反應生成物之矽氧化膜242,以概略之說法,係會於每次於各循環時,進行矽烷醇化處理而流動,藉以段階性地的緩和倒錐形狀,故能無空隙地將凹部230埋覆。又,即使於矽氧化膜242中混入有不純物之情況,由於剛成膜後之矽氧化膜242如前述般係極端地薄,故能讓不純物迅速地脫離。
於前述一連串步驟中,第1處理區域91與第2處理區域92及補助區域90之間處供給有N2 氣體,又,於中心部區域C亦供給有作為分離氣體之N2 氣體,故如圖14所示,能讓BTBAS氣體、與O3 氣體及乙醇氣體不會相互混合而排出。又,分離區域D處,彎曲部46與迴轉台2外端面之間的間隙如前述般地狹窄,故BTBAS氣體、與O3 氣體及乙醇氣體亦不會經由迴轉台2外側而相互混合。因此,能完全地分離第1處理區域91氣氛、與第2處理區域92氣氛及補助區域90氣氛,而將BTBAS氣體排出至排氣口61,又,讓O3 氣體及乙醇氣體排出至排氣口62。其結果,BTBAS氣體、與O3 氣體及乙醇氣體於氣氛中或於晶圓W上皆不會相互混合。
又,本範例中,連通至設置反應氣體噴嘴31及32之第2頂面45下方空間的排氣區域E1及E2下方處係設置有排氣口61及62,因此,相較於第1頂面44下方側狹隘空間及中心部區域C之各壓力,第2頂面45下方側空間之壓力較低。又,形成狹隘空間係為了能藉由高度h來維持設置有反應氣體供給氣體噴嘴31及32之第2頂面45下方空間(或處理區域P1及P2)與狹隘空間之間的壓力差。
另外,迴轉台2下方側會受到N2 氣體之沖洗,故流入排氣區域E之氣體完全不會有經由迴轉台2下方側,例如使得BTBAS氣體流入O3 氣體供給區域之虞。
另外,晶圓W如前述般依序通過各區域91、90、92,時,由於晶圓W係沿迴轉台2迴轉方向設置於5個位置處之凹部24內,故會有當晶圓W在形成分子層241之前便供給有乙醇氣體或O3 氣體,抑或藉由加熱燈210而加熱之情況,但對於成膜不會有特別不良的影響。
如此,待成膜處理結束時,停止供給氣體而將真空容器1內進行真空排氣,然後停止迴轉台2之迴轉,並依搬入各晶圓W時之相反動作來依序藉由搬送手臂10搬出。
此處,記載處理參數之一範例,以直徑300mm之晶圓W作為被處理基板之情況,迴轉台2之迴轉速度為例如1rpm~500rpm,來自真空容器1中心部之分離氣體供給管51的N2 氣體流量為例如5000sccm。
依前述實施形態,依序將2種反應氣體(BTBAS氣體及O3 氣體)供給至晶圓W表面以形成薄膜之情況,係讓BTBAS氣體吸著於晶圓W上之後,在供給O3 氣體之前先供給乙醇氣體,藉此相對於分子層241可獲得流動性較高之狀態(矽氧烷聚合體)。因此,矽氧烷聚合體會流動,又,接著因O3 氣體之氧化處理所產生之矽氧化膜242亦會流動,故矽氧化膜242會流入凹部230內部,即使凹部230為例如倒錐狀之情況,亦能不形成空洞(void)地將矽氧化膜242埋入凹部230內。因此,可獲得良好埋覆之矽氧化膜242。
該成膜方法係以前述ALD(MLD)法為基礎的方法,只要能讓依序層積形成之矽氧化膜242(矽氧烷聚合體)依序地進行流動即可,各循環中矽氧化膜242之流動量僅為些許,因此可讓矽氧化膜242快速地流動。因此,藉由迴轉台2正在迴轉之情況,即使晶圓W於噴嘴200下方區域處之停留時間較短,亦能如前述般段階性地緩和倒錐形狀,而可達成無空洞(void)之埋覆,例如於製造STI構造之元件時,便可獲得良好之絕緣特性。然後,為了進行ALD而讓迴轉台2迴轉時,於各循環中進行矽烷醇化(流動),由於進行矽烷醇化所造成之時間損失較少,故能維持高產能。
又,即使於矽烷醇化前在矽氧化膜242內混入有不純物,在當矽氧化膜242膜厚為極端薄時,迴轉台2每次迴轉便會受加熱燈210之加熱,故可迅速地除去不純物,並讓矽氧化膜242緻密化。
又再者,如前述,係進行於迴轉台2之迴轉方向設置有複數個晶圓W,並藉由迴轉台2之迴轉而依序通過區域91、90、92之所謂的ALD(或MLD),故能以高產能地進行成膜處理。然後,迴轉台2之迴轉方向上,於第1處理區域91及補助區域90、與第2處理區域92之間設置有具備較低頂面之分離區域D,且從由迴轉台2迴轉中心部與真空容器1所劃分形成之中心部區域C朝向迴轉台2周緣噴出分離氣體,而擴散至分離區域D兩側之分離氣體及從中心部區域C所噴出之分離氣體會與反應氣體一同地,經由迴轉台2周緣與真空容器內周壁之間隙而排出,故可防止兩反應氣體相互混合,其結果,能良好地進行ALD處理。又,迴轉台2上完全不會產生反應生成物(或能積極地抑制),可抑制微粒發生。另外,本發明亦可適用在於迴轉台2載置有1個晶圓W之情況。
又,前述範例中,迴轉台2於每次之各迴轉(各循環)會藉由加熱燈210來對反應生成物加熱,但亦可例如在每次以複數次(例如20次)地反覆進行吸著BTBAS氣體、產生中間生成物及產生矽氧化膜242後,再藉由加熱燈210來對反應生成物加熱。
此時,亦可在複數次將迴轉台2迴轉而堆積出複數層反應生成物之後,停止供給分離氣體以外的各氣體,並開啟加熱燈210,讓迴轉台2迴轉一圈,以使得各晶圓W依序通過加熱燈210下方側。依該範例亦可良好地對各晶圓W上之凹部進行埋覆,又,中斷成膜而例如讓迴轉台2迴轉一圈之迴流(reflow)步驟中,由於進行迴流所消耗之時間非常少,故於本範例中亦能維持高產能。又接著,複數次地進行前述反應生成物層積後,再進行加熱處理之範例中,並不一定要在迴轉台2之各迴轉時,每次皆供給乙醇氣體來產生中間生成物,亦可於層積反應生成物時,暫時停止供給乙醇氣體,而僅在藉由加熱燈210來進行加熱處理而讓迴轉台2迴轉時,才供給乙醇氣體。
[第2實施形態]
其次,參考圖15~圖17來說明本發明之第2實施形態。本實施形態中,如圖15所示,於迴轉台2之迴轉方向上,在前述第2反應氣體噴嘴32與加熱燈210之間設置有作為電漿供給機構之電漿噴射器250。
電漿噴射器250具備有由框體所組成之噴射器本體251。如圖16、圖17所示,噴射器本體251內以分隔壁252沿長度方向區分成寬度相異的2個空間,一側為將電漿發生用氣體電漿化用的氣體活性化用流道之氣體活性化室253,另一側則為將電漿發生用氣體供給至氣體活性化室253用的氣體導入用流道之氣體導入室254。
該圖15~圖17中,參照符號255為氣體導入噴嘴,參照符號256為氣體孔,參照符號257為氣體導入埠,參照符號258為接頭部,且參照符號259為氣體供給埠。來自氣體導入噴嘴255之電漿發生用氣體會從氣體孔256噴出而供給至氣體導入室254內,且氣體會從該氣體導入室254經由分隔壁252上部所形成之切除部271而流至氣體活性化室253。氣體活性化室253內,2根由介電體所組成之例如陶瓷製鞘管(Sheath)272、272係從氣體活性化室253之根端側朝向前端側而沿著隔壁252延伸形成,該等鞘管272、272之管內貫穿插入有棒狀電極273、273。該等電極273、273根端側則延伸至噴射器本體251外部,於真空容器1外部經由匹配器274而連接至高頻電源275。噴射器本體251底面,於電極273、273之間的區域(電漿發生部290)處,沿噴射器本體251之長度方向排列設置有將電漿化之活性化電漿朝下方側噴出用的氣體噴出孔291。設置該噴射器本體251,使得其前端側朝向迴轉台2中心部延伸而出之狀態。圖15中,參照符號262~264為閥,參照符號265~267為流量調整部,參照符號268~270各自為儲存有電漿發生用氣體例如氧(O2 )氣體、氬(Ar)氣體及氮(N2 )氣體的氣體源。
本實施形態之作用說明如下。本實施形態中,亦同樣地於迴轉台2上載置5片晶圓W,讓該迴轉台2迴轉,從各氣體噴嘴31、32、200、41、42朝向晶圓W各自供給BTBAS氣體、O3 氣體、乙醇氣體及氮氣體,並如前述般將沖洗氣體供給至中心部區域C與迴轉台2下方區域。然後,如前述般供電給加熱單元7及加熱燈210,對電漿噴射器250供給電漿發生用氣體(例如Ar氣體),並從高頻電源275將高頻電力供給至電漿發生部290(電極273、273)。
另一方面,由於真空容器1內為真空環境,故流入至氣體活性化室253上方部的電漿發生用氣體會因前述高頻電力而形成電漿化(活性化)狀態,並經由氣體噴出孔291朝向晶圓W進行供給。
當該電漿通過第2處理區域92而到達形成有前述矽氧化膜242的晶圓W處時,矽氧化膜242內殘留之碳成分與水分會氣化而排出,抑或者能強化矽與氧之間的鍵結。然後,當該晶圓W通過加熱燈210下方區域,而如前述般地讓矽氧化膜242鍵結緻密化,藉此能緩和凹部230內之倒錐形狀而形成矽氧化膜242。
藉由如前述般地設置電漿噴射器250,便能形成較前述第1實施形態之不純物更少,又鍵結強度更強的矽氧化膜242。
本範例中,雖如前述般地使用Ar氣體來作為電漿發生用氣體,但亦可取代該氣體,抑或與該氣體共同使用O2 氣體或N2 氣體。使用該Ar氣體之情況,能獲得於膜中形成SiO2 鍵結而讓Si-OH鍵結消失的效果。又,使用O2 氣體之情況,能促進未反應部分之氧化,能獲得減少膜中之C(碳)而提高電氣特性之效果。
本實施形態中,亦可在每次以複數次進行吸著BTBAS氣體、產生中間生成物及產生矽氧化膜242後,對加熱燈210給電以進行加熱處理,抑或亦可在每次層積出複數層矽氧化膜242後進行電漿供給。
[第3實施形態]
其次,參考圖18來說明本發明之第3實施形態。本實施形態中,在矽氧化膜242中混入有硼(B)及磷(P)中至少任一者,以使得矽氧化膜242能更快速地流動(reflow)。作為具體之成膜裝置,舉出前述第1實施形態之成膜裝置為範例來說明,於該成膜裝置中,設置有作為第3反應氣體供給機構之例如石英製第3氣體噴嘴150,用以供給前述硼及磷中至少任一者(例如包含磷之化合物:例如PH3 (磷化氫)氣體)來作為第3反應氣體,該噴嘴150係設置於迴轉台2之迴轉方向上,例如第2反應氣體噴嘴32與加熱燈210之間處。
該噴嘴150之結構與前述各噴嘴31、32、200、41、42相同,係從真空容器1外周壁朝向迴轉台2迴轉中心且面向晶圓W呈水平延伸般地設置,其根端部之氣體導入埠151會貫穿該外周壁。該噴嘴150係藉由介設有圖中未顯示之閥或流量調整部之氣體供給管152來供給前述PH3 氣體的結構,該噴嘴150之下方側,沿著噴嘴之長度方向隔著例如10mm之間隔而等間隔地朝向正下方排列設置有將該反應氣體朝向下方側之晶圓W噴出用之例如口徑為0.5mm的氣體噴出孔(圖中未顯示)。該噴嘴150之氣體噴出孔與晶圓W之間的距離為例如1~4mm,較佳地為2mm。本範例中,加熱燈210對晶圓W之加熱溫度設定為例如700℃~800℃左右。
具備該噴嘴150之成膜裝置的作用說明如下。如前述般,於迴轉台2上載置例如5片晶圓W,讓該迴轉台2迴轉,且從各噴嘴31、32、200、150、41、42供給有各反應氣體及分離氣體,於中心部區域C及迴轉台2下方區域供給有沖洗氣體。然後,針對通過第2處理區域92而於表面處形成有矽氧化膜242的晶圓W,供給前述PH3 氣體時,則該反應氣體會混入矽氧化膜242中。其次,於加熱燈210下方處,將混入有第3氣體之矽氧化膜242如前述般地加熱至700℃~800℃左右時,則PH3 氣體便會分解,因分解所產生的氫分子會從矽氧化膜242脫離而排出,同時該矽氧化膜242中便會混入例如磷。因磷會讓矽氧化膜242容易產生玻璃轉移現象(glass transition),故矽氧化膜242會迴流(流動),更進一步能緩和倒錐狀之凹部230的下端寬廣之程度。然後,與前述範例相同地,層積出多層之矽氧化膜242。
作為該噴嘴150之設置位置,只要是在迴轉台2迴轉方向上之第1反應氣體噴嘴31與加熱燈210之間處即可。又,亦可例如於第1反應氣體噴嘴31之氣體供給管31b連接有氣體供給管152,而從第1反應氣體噴嘴31供給PH3 氣體與BTBAS氣體之混合氣體。又,作為該第3反應氣體,亦可取代前述PH3 氣體抑或與該氣體共同地,來供給含有硼之化合物(例如甲硼烷(BH3 )、乙硼烷(B2 H6 )或TMB(三甲基硼))氣體,而於矽氧化膜242中混入有磷及硼中至少任一者。再者,本範例中,設置加熱燈210之同時,亦可設置有電漿噴射器250。
前述各範例中,作為從補助噴嘴200所供給之補助氣體係使用乙醇氣體,但亦可為其他之醇例如甲醇(CH3 OH)等,抑或為純水(H2 O)或過氧化氫水(H2 O2 )等,即,只要是具有氫氧基(OH)基之化合物氣體皆可。作為該補助氣體而使用純水之情況,該純水氣體與吸著於晶圓W表面之BTBAS氣體會例如依下述算式(2)來相互反應而矽烷醇化。
BTBAS+H2 O→(-SiO-)n+CH3 C-NH2 ↑ 式(2)
該反應中所產生的中間生成物為(-SiO-)n,與前述矽氧烷聚合體同樣具流動性,又,該(-SiO-)n與O3 氣體相互反應所產生之矽氧化膜242亦同樣地具有流動性,故能流動並良好地埋覆凹部230。
又,前述各範例中,雖針為於表面形成有凹部230之晶圓W來適用本實施形態,但亦可適用於形成有金屬配線之晶圓W,亦或適用於平坦晶圓W。將本實施形態適用於平坦晶圓W之情況,可獲得不純物濃度較低且具高密度的矽氧化膜。
又,真空容器1內之中央部容易昇溫,相反地於周緣部則較不易昇溫,因此,亦可將加熱燈210沿迴轉台2半徑方向劃分為複數個(例如3個)加熱器,並藉由例如對周緣部側供給較中央部側更大電力量之方式,來對該等加熱器個別地進行控制。再者,作為該加熱燈210,與各噴嘴31、32、200、41、42或電漿噴射器250相同地,亦可為從真空容器1側壁之貫通孔100朝向真空容器1中心氣密式地插入的結構。
作為本發明所適用之處理氣體(第1反應氣體),除了前述範例之外,亦可舉出DCS[二氯矽烷]、HCD[六氯二矽甲烷]、TMA[三甲基鋁]、3DMAS[三(二甲胺基)矽烷]、TEMAZr[四(乙基甲基胺基酸)-鋯]、TEMAHf[四(乙基甲基胺基酸)-鉿]、Sr(THD)2 [二(四甲基庚二酮酸)-鍶]、Ti(MPD)(THD)[(甲基戊二酮酸)(雙四甲基庚二酮酸)-鈦]、單胺基矽烷等。
又,較佳地,於分離區域D之頂面44處,相對於分離氣體噴嘴41、42之迴轉台2迴轉方向的上游側部位,應為越靠外緣位置之部位則沿迴轉方向之寬度越大的結構。其理由在於,因迴轉台2之迴轉而從上游側朝向分離區域D的氣體流動,係越靠近外緣則速度越快。依該觀點來看,如前述般將凸狀部4形成扇型結構,為較佳方式。
然後,各自於分離氣體噴嘴41(42)兩側形成有狹隘空間的第1頂面44,在如圖19(a)、(b)之分離氣體噴嘴41所代表般而以例如直徑300mm之晶圓W作為被處理基板之情況,則係以晶圓W之中心WO所通過部位處,沿迴轉台2迴轉方向之長度L為50mm以上者為佳。為了有效地阻止反應氣體從凸狀部4兩側侵入凸狀部4下方(狹隘空間),當長度L過短時,便必須相對地縮小第1頂面44與迴轉台2之間的高度h。再者,將第1頂面44與迴轉台2之間的高度h設定於特定值時,離迴轉台2之迴轉中心越遠,則迴轉台2之速度便越快,故離迴轉中心越遠,則為了獲得反應氣體之侵入阻止效果所必須的長度L便越長。從前述觀點來考量,晶圓W之中心WO所通過部位處的長度L較50mm更小時,便需要相當程度地縮小第1頂面44與迴轉台2之間的高度h,而為了防止讓迴轉台2迴轉時,迴轉台2或晶圓W撞擊頂面44,則需要積極地抑制迴轉台2之震動。又再者,迴轉台2之迴轉速度越快,反應氣體越容易從凸狀部4上游側侵入至凸狀部4下方側,故當長度L較50mm更小時,就不得不降低迴轉台2之迴轉速度,就產能之觀點來看並非良策。因此,長度L為50mm以上者較佳,但並非是於50mm以下便無法獲得本發明之效果。即,長度L為晶圓W直徑的1/10~1/1者較佳,為約1/6以上者更佳。
又,本發明中,於分離氣體供給機構之迴轉方向兩側設有較低頂面44者較佳,但亦可無需於分離氣體噴嘴41、42兩側設置凸狀部4,而是從分離氣體噴嘴41、42朝向下方噴出N2 氣體以形成氣幕,藉由該氣幕來分離處理區域91、92。
作為加熱晶圓W用之加熱機構,不限定為使用電阻發熱體的加熱器,亦可為燈加熱裝置。亦可為設置於迴轉台2上方側,亦或設置於上下兩側來取代設置於迴轉台2下方側之方式。又,前述反應氣體所產生之反應係在低溫(例如常溫)下進行之情況,亦可無需設置加熱機構。
此處,針對處理區域91、92及分離區域之各配置方式來說明前述實施形態以外的其他範例。如前述已知,分離區域D可將扇型凸狀部4沿圓周方向分割成2個,於其間設置有分離氣體噴嘴41(42)之結構。圖20係舉出以前述第1實施形態之成膜裝置為範例之前述結構的一範例之平面圖。此時,扇型凸狀部4與分離氣體噴嘴41(42)之間的距離與扇型之凸狀部4之大小,應考慮分離氣體之噴出流量與反應氣體之噴出流量等來將分離區域D設置為能有效地發揮分離作用之態樣。
前述實施形態中,第1處理區域91及第2處理區域92之頂面係相當於較分離區域D頂面更高的區域,但於其他實施形態中,亦可於第1處理區域91及第2處理區域92中至少任一者處,具備有較相對分離區域D於迴轉台2迴轉方向兩側頂面(第2頂面45)更低的頂面(例如高度與分離區域D中之第1頂面44相同的頂面),且與分離區域D相同地於反應氣體供給機構之迴轉方向兩側處面向迴轉台2而設置,以形成阻止氣體侵入其與迴轉台2之間用的空間。
又,於反應氣體噴嘴31(32)兩側亦可設置較低頂面,形成除了設置有分離氣體噴嘴41(42)及反應氣體噴嘴31(32)以外之部份,於面向迴轉台2之區域整面皆設置有凸狀部4之結構。
又,亦可改變各噴嘴31、32、200、41、42(310)安裝的位置,能讓各反應氣體不會相互混合般地排出,且多數次地反覆進行下述循環:讓BTBAS吸著於晶圓W表面,然後藉由乙醇氣體產生中間生成物,接著以O3 氣體產生中間生成物。
[第4實施形態]
又,作為前述各實施形態之成膜裝置,雖係相對於氣體供給系統(噴嘴31、32、200、41、42)而讓迴轉台2繞鉛直軸進行迴轉之結構,但抑可為讓氣體供給系統相對於迴轉台2繞鉛直軸進行迴轉之結構。即,只要能讓氣體供給系統與迴轉台2進行相對迴轉之結構即可。關於前述之具體裝置結構,參考圖21~圖24來說明。另外,關於與前述成膜裝置相同之部位,係賦予相同符號並省略說明。
於真空容器1內,取代迴轉台2而設置有作為台座的載置台300。該載置台300之底面中央連接有迴轉軸22,能在進行晶圓W搬入出時讓載置台300迴轉之結構。該載置台300上沿圓周方向形成有複數個(例如5個)凹部24。
如圖21~圖23所示,前述噴嘴31、32、200、41、42係安裝在載置台300中央部正上方所設置的扁平圓盤狀之軸心部301處,而根端部係貫穿軸心部301側壁。軸心部301係如後述般地能例如繞鉛直軸逆時針方向迴轉的結構,藉由讓軸心部301迴轉,便可讓各氣體供給噴嘴31、32、200、41、42於載置台300上方位置進行迴轉。因此,例如圖22所示,從上方觀之,當噴嘴31、41、32、200、及42繞逆時針迴轉時,則與圖1所示成膜裝置相同地,各載置台300上之晶圓W便會相對地通過第1處理區域91、分離區域D、第2處理區域92、補助區域90、以及分離區域D。另外,從載置台300觀察氣體供給系統(噴嘴31、32、200、41、42)時,將該等噴嘴31、32、200、41、42迎面而來之方向稱為載置台300之相對迴轉方向下游側,將噴嘴31、32、200、41、42遠去之方向稱作相對迴轉方向上游側。另外,圖22係顯示將真空容器1(頂板11及容器本體12)與固定在頂板11上方面之後述套筒304拆下之狀態。
又,凸狀部4係固定於前述軸心部301側壁部,而能與各氣體供給噴嘴31、32、200、41、42一同於載置台300上方進行迴轉之結構。軸心部301側壁部如圖22、圖23所示,在各反應氣體供給噴嘴31、32之迴轉方向上游側,於上游側所設置之凸狀部4與軸心部301的接合部前方位置處,設置有2個排氣口61、62。該等排氣口61、62係各自連接至後述排氣管302,而能發揮將反應氣體及分離氣體從各處理區域91、92(90)排出之功能。排氣口61、62與前述範例相同,係設置於分離區域D之迴轉方向兩側,而專門用以進行各反應氣體(BTBAS氣體及O3 氣體(乙醇氣體))之排氣。
又,加熱燈210係設置於第2反應氣體噴嘴32之相對迴轉方向下游側,根端側(迴轉中心側)係連接至軸心部301而能與各噴嘴31、32、200、41、42一共進行迴轉般地被加以支撐。此時,雖圖中省略供電線214,但其係例如通過軸心部301中心部朝向上方延伸,並連接至後述迴轉筒303之迴轉中心以進行供電之結構。另外,本範例中,加熱燈210亦設置於燈罩內,但省略繪出燈罩。
如圖21所示,軸心部301之上面中央部係連接至圓筒狀迴轉筒303的下端部,藉由在固定於真空容器1之頂板11上的套筒304內讓迴轉筒303進行迴轉,而成為於真空容器1內讓噴嘴31、32、200、41、42、加熱燈210以及凸狀部4能與軸心部301一同進行迴轉之結構。軸心部301內之下面側形成開放空間。貫穿軸心部301側壁之反應氣體供給噴嘴31、32、200、分離氣體供給噴嘴41、42係各自於該空間中,如圖24所示般,連接至供給BTBAS氣體的第1反應氣體供給管305,連接至供給O3 氣體的第2反應氣體供給管306,連接至供給乙醇氣體的第3反應氣體供給管401,連接至供給分離氣體(N2 氣體)的分離氣體供給管307與308。
各供給管305~308、401於接近軸心部301之迴轉中心附近處,詳細來說為後述排氣管302周圍處,係彎曲呈L型且朝向上方延伸,並貫穿軸心部301頂面,而朝向垂直上方延伸於圓筒狀迴轉筒303內。
如圖21、圖22及圖23所示,迴轉筒303具有以外徑不同之2個圓筒而上下2層重疊堆積的外觀形狀,外徑較大之上段側圓筒的底面係支撐於套筒304之上端面,而安裝於套筒304處。藉此,迴轉筒303在能沿圓周方向迴轉(從上方觀之)之狀態下插入至套筒304內。另一方面,迴轉筒303之下端側則貫穿頂板11並連接至軸心部301上方面。
頂板11上方處,於迴轉筒303外周面側係沿上下方向間隔設置有沿著該外周面之圓周方向整圈所形成之環狀流道(氣體擴散道)。圖示範例中,依序從上而下設置有:讓分離氣體(N2 氣體)擴散用的分離氣體擴散道309、讓BTBAS氣體擴散用的第1反應氣體擴散道310、讓O3 氣體擴散用的第2反應氣體擴散道311、以及讓乙醇氣體擴散用的第3反應氣體擴散道402。圖16中,參照符號312為迴轉筒303之蓋部,參照符號313為讓蓋部312與迴轉筒303緊密接著的O型環。
各氣體擴散道309~311、402係沿著迴轉筒303整圈,於迴轉筒303外側面設置有朝向套筒304內側面形成開口的槽縫320、321、322、403,各氣體擴散道309~311、402會經由該等槽縫320、321、322、403而供給有各種氣體。另一方面,覆蓋迴轉筒303之套筒304在對應於各槽縫320、321、322、403的高度位置處,設置有作為氣體供給口之氣體供給埠323、324、325、404,藉由圖中未顯示之氣體供給源供給至該等氣體供給埠323、324、325、404的氣體,會經由朝向該各埠323、324、325、404形成開口之槽縫320、321、322、403而供給至各氣體擴散道309、310、311、402內。
此處,插入至套筒304內的迴轉筒303之外徑,在能讓迴轉筒303進行迴轉之範圍內,應盡可能地形成接近套筒304內徑的大小尺寸,使得於各埠323、324、325、404開口部以外的區域處,會形成各槽縫320、321、322、403被套筒304之內周面阻塞的狀態。其結果,導入至各氣體擴散道309、310、311、402的氣體只會於氣體擴散道309、310、311、402內擴散,不會例如露出至其他氣體擴散道309、310、311、402與真空容器1內、成膜裝置外部等。圖21中,參照符號326為防止氣體從迴轉筒303與套筒304之間的間隙處漏出用的磁氣密封材,該等磁氣密封材326亦設置於各氣體擴散道309、310、311、402上下處,而能確實地將各種氣體密封於氣體擴散道309、310、311、402內的結構,但圖21中為求方便而省略繪出。又,圖24中,亦省略繪出磁氣密封材326。
圖24所示,迴轉筒303之內周面側,氣體擴散道309係連接有氣體供給管307、308,各氣體擴散道310、311則各自連接至前述各氣體供給管305、306。又,氣體擴散道402係連接至氣體供給管401。藉此,自氣體供給埠323供給的分離氣體會於氣體擴散道309內擴散並經由氣體供給管307、308而流向噴嘴41、42,又,自各氣體供給埠324、325供給的各種反應氣體則各自於氣體擴散道310、311內擴散並經由氣體供給管305、306而流向各噴嘴31、32,藉以供給至真空容器1內。又,自氣體供給埠404供給的乙醇氣體係經由氣體擴散道402及氣體供給管401而從噴嘴200供給至真空容器1內。另外,圖24中,為求圖示方便,省略繪出後述排氣管302。
如圖24所示,分離氣體擴散道309係連接有沖洗氣體供給管330,該沖洗氣體供給管330係於迴轉筒303內朝下方側延伸,如圖23所示於軸心部301內的空間形成開口,而可將N2 氣體供給至該空間之結構。此處,例如圖21所示軸心部301,其下方面係以迴轉筒303支撐在從載置台300表面之例如前述高度h位置處。藉此,軸心部301不會干涉載置台300,而能自由迴轉。但是,前述載置台300與軸心部301之間具有間隙時,會有例如BTBAS氣體或O3 氣體從前述處理區域P1、P2中任一側經由軸心部301下方而流入另一側之虞。
此處,將軸心部301內側成為空洞,將該空洞之下方面側朝向載置台300成開放狀態,同時於空洞內從沖洗氣體供給管330供給有沖洗氣體(N2 氣體),經由間隙而朝向各處理區域91、92噴出沖洗氣體,藉此可防止前述反應氣體之侵入。即,該成膜裝置具備有中心部區域C,係為了分離處理區域91、92氣氛而由載置台300中心部與真空容器1所劃分形成,且沿軸心部301之迴轉方向形成有將沖洗氣體噴出至載置台300表面的噴出口。此時,沖洗氣體能發揮分離氣體之功用,以防止BTBAS氣體或O3 氣體經由軸心部301下方流入另一側。另外,此處所述噴出口相對於軸心部301側壁與載置台300之間的間隙。
再次參考圖21,迴轉筒303上段之外徑較大之圓筒部側周面係捲繞有驅動皮帶335。藉由驅動皮帶335來將設置於真空容器1上方之迴轉機構(驅動部336)的驅動力傳導給軸心部301,藉此,讓套筒304內之迴轉筒303進行迴轉。另外,圖21中,參照符號337為將驅動部336保持於真空容器1上方位置處的保持部。
又,如圖21所示,迴轉筒303內沿著其迴轉中心設置有排氣管302。排氣管302下端部係貫穿軸心部301上方面而延伸至軸心部301內部空間,其下端面則為密封。另一方面,延伸至該軸心部301內之排氣管302的側周面,例如圖23所示般,設置有排氣吸入管341、342,排氣吸入管341、342係於軸心部301側周面處各自形成有作為排氣口61、62的開口。藉此,不會吸引軸心部301內的沖洗氣體,而能將真空容器1內之氣氛吸入排氣管302內。
另外,如前述般,於圖24中雖省略了排氣管302,但圖24所記載之各氣體供給管305、306、307、308以及沖洗氣體供給管330,係設置於該排氣管302周圍。
如圖16所示,排氣管302上端部貫穿迴轉筒303蓋部312而連接至作為真空排氣機構的例如真空泵343。另外,圖16中,參照符號344為能讓排氣管302相對於下游側配管迴轉般而連接的旋轉接頭。
關於使用該裝置之成膜處理的流程,針對與前述實施形態作用之相異點,於以下進行簡單說明。首先,將晶圓W搬入至真空容器1內時,讓載置台300間歇性地迴轉,藉由搬送手臂10與昇降銷16之協同作業來將晶圓W各自載置於5個凹部24處。
然後,成膜裝置進行矽氧化膜242之成膜處理時,讓迴轉筒303繞逆時針迴轉。如此一來,如圖24所示,迴轉筒303所設置的各氣體擴散道309~311、402便會隨著迴轉筒303之迴轉而迴轉,但該等氣體擴散道309~311、402所設置之槽縫320~322、403的一部份會朝向各自所對應之氣體供給埠323~325、404開口部,而經常地保持開口狀態,藉此於氣體擴散道309~311、402連續供給對應之氣體。
供給至氣體擴散道309~311、402之對應氣體會經由連接至各氣體擴散道309~311、402的氣體供給管305~308、401,而從反應氣體供給噴嘴31、32、200、分離氣體供給噴嘴41、42供給至各處理區域91、92、90、分離區域D。該等氣體供給管305~308、401係固定於迴轉筒303,又,由於反應氣體供給噴嘴31、32、200及分離氣體供給噴嘴41、42係藉由軸心部301而固定於迴轉筒303,因此隨著迴轉筒303之迴轉,該等氣體供給管305~308、401及各氣體供給噴嘴31、32、200、41、42亦會迴轉,同時將各種氣體供給至真空容器1內。又,關於加熱燈210,亦會與該等各噴嘴31、32、200、41、42一共迴轉,而通過晶圓W上方區域時,便能如前述範例般地加熱下方側的晶圓W。
此時,與迴轉筒303形成一體進行迴轉之沖洗氣體供給管330,亦會供給分離氣體(N2 氣體),藉此從中心區域C(即從軸心部301側壁部與載置台300中心部之間處)沿載置台300表面噴出N2 氣體。又,本範例中,沿著設置有反應氣體噴嘴31、32之第2頂面45下方側空間的軸心部301側壁部處係設置有排氣口61、62,故相較於第1頂面44下方側狹隘空間及中心區域C之各壓力,第2頂面45下方側空間的壓力較低。因此,BTBAS氣體、與O3 氣體及乙醇氣體與前述成膜裝置相同,不會相互混合且能獨立地被排出。
因此,從停止於載置台300上之各晶圓W之觀點來看,各處理區域91、90、92會依序通過,藉此如前述般,依序進行BTBAS氣體之吸著、乙醇氣體之供給、以O3 氣體進行氧化處理、以及加熱處理。
本實施形態中,同樣地矽氧化膜242亦可良好地埋覆於凹部230內,而可獲得相同效果。又,前述成膜裝置中,亦可設置有第3反應氣體噴嘴150,此時,該等噴嘴150係與本實施形態之各氣體噴嘴31、32、200、41、42相同地,連接至收納於迴轉筒303內的氣體供給管,並經由套筒304所形成之槽縫來供給第3反應氣體。再者,該成膜裝置101亦可設置有前述電漿噴射器250。
其次,參考圖25來說明具備前述成膜裝置之基板處理裝置的整體結構。圖25中,參照符號111為例如收納有25片晶圓W而被稱作晶圓盒的密閉型搬送容器,參照符號112為設置有搬送手臂113之大氣搬送室,參照符號114、115為能於大氣氣氛與真空氣氛之間進行氣氛切換的加載互鎖室(預備真空室),參照符號116為設置有雙臂式搬送手臂117、117(前述之搬送手臂10)的真空搬送室,參照符號118、119為本發明實施形態之成膜裝置。
其次,說明該基板處理裝置之處理流程。從外部將搬送容器111搬送至具備有圖中未顯示之載置台的搬入搬出埠處,在連接至大氣搬送室112後,藉由圖中未顯示之開閉機構來將蓋體打開,藉由搬送手臂113來將晶圓W從該搬送容器111內取出。其次,將晶圓W搬入加載互鎖室114(115)內,並將該室內從大氣氣氛切換為真空氣氛之後,藉由搬送手臂117來搬入成膜裝置118、119中任一者,以進行前述成膜處理。
依前述基板處理裝置,藉由具備有複數個(例如2個)之例如處理5片用的成膜裝置,故能以高產能地實施所謂ALD(MLD)。
以上,已記載了本發明之較佳實施形態,但本發明並不限定於前述特定實施形態,於申請專利範圍內所記載之本發明要旨的範圍內,可進行各種變形、變更。
本申請案係根據2009年4月9日向日本國專利廳提出申請之特願2009-095210號而主張其優先權主張,參考並包含該申請案之全部內容。
1...真空容器
2...迴轉台
5...突出部
6...排氣區域
10...搬送手臂
11...頂板
12...容器本體
13...O型環
14...底面部
15...搬送口
16...昇降銷
20...殼體
21...軸心部
22...迴轉軸
23...凹部
31、32...反應氣體噴嘴
31a、32a...氣體導入埠
33...噴出孔
40...噴出孔
41、42...分離氣體噴嘴
41a、42a...氣體導入埠
43...溝部
44...第1頂面
45...第2頂面
50...狹窄間隙
51...分離氣體供給管
61...排氣口
63...排氣路
71...遮蔽組件
72...沖洗氣體供給管
73...沖洗氣體供給管
80...控制部
85...記憶部
90、91、92...區域
100...控制部
111...晶圓匣
112...大氣搬送室
113...搬送手臂
114、115...加載互鎖室
116...真空搬送室
117...搬送手臂
118、119...成膜裝置
150...噴嘴
151...氣體導入埠
152...氣體供給管
200...噴嘴
200a...氣體導入埠
200b...氣體供給管
210...加熱燈
211...燈罩
212...光穿透窗
213...密封組件
214...供電線
215...反射鏡
216...支撐組件
217...電源
230...凹部
233...錐部
234...倒錐部
241...分子層
242...矽氧化膜
250...電漿噴射器
251...噴射器本體
252...分隔壁
253...氣體活性化室
254...氣體導入室
255...氣體導入噴嘴
256...氣體孔
257...氣體導入埠
258...接頭部
259...氣體供給埠
262、263、264...閥
265、266、267...流量調整部
268、269、270...氣體源
271...切除部
272...鞘管
273...電極
274...匹配器
275...高頻電源
290...電漿發生部
291...氣體噴出孔
300...載置台
301...軸心部
302...排氣管
303...迴轉筒
304...套筒
305...第1氣體供給管
306...第2氣體供給管
307、308...分離氣體供給管
309...分離氣體擴散道
310...第1反應氣體擴散道
311...第2反應氣體擴散道
312...蓋部
313...O型環
320、321、322...槽縫
323、324、325...氣體供給埠
335...驅動皮帶
336...驅動部
337...保持
343...真空泵
344...旋轉接頭
401...第3氣體供給管
402...第3反應氣體擴散道
403...槽縫
404...氣體供給埠
C...中心部區域
E1、E2...排氣區域
W...晶圓
圖1係本發明實施形態之成膜裝置的縱剖面圖。
圖2係圖1成膜裝置內部的概略結構之立體圖。
圖3係圖1成膜裝置的橫剖平面圖。
圖4(a)、(b)係圖1成膜裝置之處理區域及分離區域的縱剖面圖。
圖5係圖1成膜裝置之橫剖面放大圖。
圖6係圖1成膜裝置之橫剖面放大圖。
圖7係圖1成膜裝置之橫剖面放大圖。
圖8係圖1成膜裝置之部份立體圖。
圖9係圖1成膜裝置中沖洗氣體之流動模式圖。
圖10係圖1成膜裝置之部份剖面立體圖。
圖11係於圖1成膜裝置中進行成膜處理之基板的縱剖面概略圖。
圖12(a)、(b)、(c)、(d)係於圖1成膜裝置對基板進行成膜處理之樣態的概略圖。
圖13係於圖1成膜裝置中完成成膜處理後之基板的概略圖。
圖14係圖1成膜裝置中氣體之流動模式圖。
圖15係圖1成膜裝置之其他實施形態的概略圖。
圖16係本發明其他實施形態中電漿噴射器之一範例的立體圖。
圖17係圖16之電漿噴射器的縱剖面圖。
圖18係其他實施形態之成膜裝置的平面圖。
圖19(a)、(b)係本發明實施形態之成膜裝置中凸狀部的概略圖。
圖20係本發明實施形態之成膜裝置中氣體噴嘴等其他裝置的平面圖。
圖21係本發明其他實施形態之成膜裝置的平面圖。
圖22係圖21之成膜裝置的概略圖。
圖23係圖21之成膜裝置的平面圖。
圖24係圖21之成膜裝置的部份剖面立體圖。
圖25係使用了本發明實施形態之成膜裝置的基板處理裝置之平面圖。
1...真空容器
2...迴轉台
5...突出部
11...頂板
12...容器本體
13...O型環
14...底面部
20...殼體
21...軸心部
22...迴轉軸
23...凹部
45...第2頂面
50...狹窄間隙
51...分離氣體供給管
61...排氣口
63...排氣路
71...遮蔽組件
72...沖洗氣體供給管
73...沖洗氣體供給管
80...控制部
85...記憶部
C...中心部區域
E1...排氣區域

Claims (14)

  1. 一種成膜裝置,係於真空容器內之台座上載置有基板,同時讓台座與各自供給會相互反應之至少2種反應氣體的複數個反應氣體供給機構進行相對迴轉,藉以對基板依序供給至少2種反應氣體,且藉由實施該供給循環來層積反應生成物層以形成薄膜,其中具備有:基板載置區域,係設置用以於真空容器內之台座表面載置基板;迴轉機構,係讓台座與複數個反應氣體供給機構進行相對迴轉,以使得基板依序地移動至從複數個反應氣體供給機構各自供給有反應氣體的複數個處理區域;第1反應氣體供給機構,係面向台座上之基板載置區域所設置以供給第1反應氣體而讓該第1反應氣體吸著於基板上;補助氣體供給機構,係面向台座上之基板載置區域且於台座之圓周方向上較第1反應氣體供給機構更遠離而設置在相對於複數個氣體供給機構之台座相對迴轉方向下游側處,用以將會與吸著於基板之第1反應氣體相互反應而產生具有流動性之中間生成物的補助氣體供給至基板;第2反應氣體供給機構,係面向台座上之基板載置區域且於台座之圓周方向上較補助氣體供給機構而設置於相對迴轉方向下游側處,用以將與基板上之中間生成物相互反應以產生反應生成物的第2反應氣體供給至基板;以及加熱機構,係面向台座上之基板載置區域且於台座之圓周方向上較第2反應氣體供給機構而設置於相對迴轉方向下游側以及較第1反應氣體供給機構而設置於相對迴轉方向上游側處,來對基板加熱以使得反應生成物緻密化。
  2. 如申請專利範圍第1項之成膜裝置,其中從台座之相對迴轉方向觀之,於供給有第1反應氣體之第1處理區域與供給有補助氣體之補助處理區域之間處、以及供給有第2反應氣體之第2處理區域與第1處理區域之間處,係具備有:對於區分各處理區域之氣氛所各自設置之分離區域供給各分離氣體用的分離氣體供給機構。
  3. 如申請專利範圍第1項之成膜裝置,其中加熱機構係與台座上之基板載置區域呈對向般設置的加熱燈。
  4. 如申請專利範圍第1項之成膜裝置,其中具備有控制部,係輸出控制訊號,以讓載置有基板的台座迴轉,且依序從第1反應氣體供給機構、補助氣體供給機構及第2反應氣體供給機構各自供給第1反應氣體、補助氣體及第2反應氣體至基板之後,每次於該等反應氣體之供給循環後便以加熱機構對基板加熱,藉以複數次地依序重複:讓第1反應氣體吸著至基板上、產生中間生成物、產生反應生成物、以及將反應生成物緻密化的步驟。
  5. 如申請專利範圍第1項之成膜裝置,其中具備有控制部,係輸出控制訊號,以讓載置有基板的台座迴轉,且依序從第1反應氣體供給機構、補助氣體供給機構及第2反應氣體供給機構各自供給第1反應氣體、補助氣體及第2反應氣體至基板,藉以複數次地依序重複:讓第1反應氣體吸著至基板上、產生中間生成物、以及產生反應生成物的步驟之後,以加熱機構對基板加熱以將反應生成物緻密化。
  6. 如申請專利範圍第1項之成膜裝置,其中具備有電漿供給機構,係與台座上之基板載置區域呈對向般地,且於台座之圓周方向上較第2反應氣體供給機構而設置於相對迴轉方向下游側以及較加熱機構而設置於相對迴轉方向上游側處,來用以對基板供給電漿。
  7. 如申請專利範圍第1項之成膜裝置,其中具備有第3反應氣體供給機構,係為了於反應生成物內混入硼及磷中至少任一者,而面向於台座上之基板載置區域般地,且於台座圓周方向較第1反應氣體供給機構而設置於相對迴轉方向下游側以及較加熱機構而設置於相對迴轉方向上游側處,來將第3反應氣體供給至基板表面,使得第3反應氣體吸著於該基板表面。
  8. 一種成膜方法,係將基板載置真空容器內的台座上,同時讓台座與各自供給會相互反應之至少2種反應氣體的複數個反應氣體供給機構進行相對迴轉,藉以對基板依序供給至少2種反應氣體,且藉由實施該供給循環來層積反應生成物層以形成薄膜,其中包含有下述步驟:將基板載置於真空容器內所設置之台座的基板載置區域處,讓該台座與複數個反應氣體供給機構進行相對迴轉;從面向台座上之基板載置區域所設置之第1反應氣體供給機構朝向基板表面供給第1反應氣體,讓該基板表面吸著第1反應氣體;從面向台座上之基板載置區域且於台座之圓周方向上較第1反應氣體供給機構更遠離而設置在相對於複數個氣體供給機構之台座相對迴轉方向下游側處的補助氣體供給機構,將補助氣體供給至基板表面,讓補助氣體與吸著於該基板表面之第1反應氣體相互反應而產生具流動性的中間生成物;從面向台座上之基板載置區域且於台座之圓周方向上較補助氣體供給機構而設置於相對迴轉方向下游側處的第2反應氣體供給機構,將第2反應氣體供給至基板表面,讓該第2反應氣體與基板上之中間生成物相互反應以產生反應生成物;藉由面向台座上之基板載置區域且於台座之圓周方向上較第2反應氣體供給機構而設置於相對迴轉方向下游側以及較第1反應氣體供給機構而設置於相對迴轉方向上游側處的加熱機構,來加熱基板以使得反應生成物緻密化。
  9. 如申請專利範圍第8項之成膜方法,其中在吸著第1反應氣體的步驟之前,更包含有從台座之相對迴轉方向觀之,供給有第1反應氣體之第1處理區域與供給有補助氣體之補助處理區域之間處、以及供給有第2反應氣體之第2處理區域與第1處理區域之間處,各自針對劃分各處理區域之氣氛所設置的分離區域從分離氣體供給機構各自供給分離氣體的步驟。
  10. 如申請專利範圍第8項之成膜方法,其中使得反應生成物緻密化之步驟係藉由面向台座上之基板載置區域所設置的加熱燈來進行加熱的步驟。
  11. 如申請專利範圍第8項之成膜方法,其中依序反覆地重覆進行:吸著第1反應氣體的步驟、產生中間生成物的步驟、產生反應生成物的步驟、以及使得反應生成物緻密化的步驟。
  12. 如申請專利範圍第8項之成膜方法,其中在進行使得反應生成物緻密化的步驟之前,依序反覆地重覆進行:吸著第1反應氣體的步驟、產生中間生成物的步驟、以及產生反應生成物的步驟。
  13. 如申請專利範圍第8項之成膜方法,其中在緻密化步驟之前,更包含有:為了於反應生成物內混入硼及磷中至少任一者,從面向於台座上之基板載置區域般地,且於台座圓周方向較第1反應氣體供給機構而設置於相對迴轉方向下游側以及較加熱機構而設置於相對迴轉方向上游側處之第3反應氣體供給機構,來將第3反應氣體供給至基板表面,使得第3反應氣體吸著於該基板表面的製程。
  14. 一種記憶媒體,係收納有電腦程式,可應用在於真空容器內之台座上載置有於表面形成有凹部的基板,同時讓台座與各自供給會相互反應之至少2種反應氣體的複數個反應氣體供給機構進行相對迴轉,藉以對基板依序供給至少2種反應氣體,且藉由實施該供給循環來層積反應生成物層以形成薄膜的成膜裝置中,其中該電腦程式係由實施申請專利範圍第8項之成膜方法的步驟所組成。
TW099110828A 2009-04-09 2010-04-08 成膜裝置、成膜方法及記憶媒體 TWI441942B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009095210A JP5131240B2 (ja) 2009-04-09 2009-04-09 成膜装置、成膜方法及び記憶媒体

Publications (2)

Publication Number Publication Date
TW201109465A TW201109465A (en) 2011-03-16
TWI441942B true TWI441942B (zh) 2014-06-21

Family

ID=42934605

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099110828A TWI441942B (zh) 2009-04-09 2010-04-08 成膜裝置、成膜方法及記憶媒體

Country Status (5)

Country Link
US (1) US8882915B2 (zh)
JP (1) JP5131240B2 (zh)
KR (1) KR101314015B1 (zh)
CN (1) CN101859694B (zh)
TW (1) TWI441942B (zh)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9226407B2 (en) * 2002-07-01 2015-12-29 Semigear Inc Reflow treating unit and substrate treating apparatus
FR2882064B1 (fr) * 2005-02-17 2007-05-11 Snecma Propulsion Solide Sa Procede de densification de substrats poreux minces par infiltration chimique en phase vapeur et dispositif de chargement de tels substrats
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5870568B2 (ja) 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
JP5640894B2 (ja) * 2011-05-26 2014-12-17 東京エレクトロン株式会社 温度測定装置、温度測定方法、記憶媒体及び熱処理装置
TWI511223B (zh) * 2011-06-03 2015-12-01 Hermes Epitek Corp 半導體設備
JP5963193B2 (ja) 2011-07-29 2016-08-03 日東電工株式会社 積層体の製造方法
JP6049051B2 (ja) 2011-07-29 2016-12-21 日東電工株式会社 両面真空成膜方法
JP5712874B2 (ja) 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5712879B2 (ja) * 2011-09-22 2015-05-07 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP6000665B2 (ja) * 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US20130125818A1 (en) * 2011-11-22 2013-05-23 Intermolecular, Inc. Combinatorial deposition based on a spot apparatus
JP5953994B2 (ja) 2012-07-06 2016-07-20 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5859927B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6040609B2 (ja) * 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
JP6024377B2 (ja) * 2012-10-18 2016-11-16 大日本印刷株式会社 ナノインプリントリソグラフィ用テンプレートブランク、その製造方法、および、ナノインプリントリソグラフィ用テンプレートの製造方法
EP2923376A4 (en) * 2012-11-21 2016-06-22 Ev Group Inc ADJUSTMENT DEVICE FOR ADJUSTING AND ASSEMBLING A WATER
KR101306627B1 (ko) 2012-12-03 2013-09-11 (주)대흥정밀산업 원자층 고속 증착장치
JP5939147B2 (ja) 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP6101083B2 (ja) * 2013-01-16 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
EP3014651B8 (en) * 2013-06-26 2018-12-26 Applied Materials, Inc. Methods of depositing a metal alloy film
JP6204213B2 (ja) * 2014-01-28 2017-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2015205383A (ja) * 2014-04-23 2015-11-19 ファナック株式会社 位置決め機構を備えたワーク搬送装置
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
JP5764246B1 (ja) * 2014-09-24 2015-08-19 株式会社日立国際電気 基板処理装置、ガス導入シャフト及びガス供給プレート
JP6407762B2 (ja) * 2015-02-23 2018-10-17 東京エレクトロン株式会社 成膜装置
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
FR3034434B1 (fr) * 2015-03-31 2021-10-22 Coating Plasma Ind Installation pour le traitement d'objets par plasma, et procede de mise en oeuvre de cette installation
JP6447393B2 (ja) * 2015-07-06 2019-01-09 東京エレクトロン株式会社 成膜処理装置、成膜処理方法及び記憶媒体
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6547650B2 (ja) 2016-02-05 2019-07-24 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
WO2017209802A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber
JP6727069B2 (ja) * 2016-08-09 2020-07-22 東京エレクトロン株式会社 接合装置および接合システム
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR102553120B1 (ko) * 2017-03-07 2023-07-06 도쿄엘렉트론가부시키가이샤 레트로그레이드 리세스된 피처를 충전하는 방법
US10453737B2 (en) * 2017-04-11 2019-10-22 Tokyo Electron Limited Method of filling retrograde recessed features with no voids
KR20200086582A (ko) * 2019-01-09 2020-07-17 삼성전자주식회사 원자층 증착 장치 및 이를 이용한 박막 형성 방법
WO2020185401A1 (en) * 2019-03-11 2020-09-17 Applied Materials, Inc. Lid assembly apparatus and methods for substrate processing chambers
JP7321730B2 (ja) * 2019-03-14 2023-08-07 キオクシア株式会社 半導体装置の製造方法
JP7273086B2 (ja) * 2021-03-24 2023-05-12 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
JP7446650B1 (ja) 2023-06-05 2024-03-11 株式会社シー・ヴィ・リサーチ 原子層堆積装置及び原子層堆積方法

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4920917A (en) * 1987-03-18 1990-05-01 Teijin Limited Reactor for depositing a layer on a moving substrate
JP2775648B2 (ja) * 1989-08-10 1998-07-16 アネルバ株式会社 Cvd方法
WO1992012535A1 (en) * 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
JPH04246846A (ja) * 1991-02-01 1992-09-02 Fujitsu Ltd 半導体装置の製造方法
JPH04287912A (ja) 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
JPH05234899A (ja) * 1991-09-17 1993-09-10 Hitachi Ltd 原子層エピタキシー装置
JPH05299412A (ja) * 1992-04-23 1993-11-12 Kojundo Chem Lab Co Ltd 半導体装置のシリコン酸化膜の製造法
JP3144664B2 (ja) 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JPH0982696A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP3480416B2 (ja) * 2000-03-27 2003-12-22 セイコーエプソン株式会社 半導体装置
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
WO2002015243A1 (fr) * 2000-08-11 2002-02-21 Tokyo Electron Limited Dispositif et traitement de substrat
JP4756766B2 (ja) * 2001-04-23 2011-08-24 不二越機械工業株式会社 ワークの供給装置
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
JP2006269621A (ja) 2005-03-23 2006-10-05 Mitsui Eng & Shipbuild Co Ltd Aldによる薄膜形成方法および装置
JP4439464B2 (ja) * 2005-12-06 2010-03-24 東京エレクトロン株式会社 基板搬送方法及び基板搬送装置
US20070218701A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
EP2039799A4 (en) * 2006-04-18 2015-09-30 Ulvac Inc FILM FORMING APPARATUS AND METHOD FOR MANUFACTURING BARRIER FILM
US7615486B2 (en) * 2007-04-17 2009-11-10 Lam Research Corporation Apparatus and method for integrated surface treatment and deposition for copper interconnect
JP4870542B2 (ja) * 2006-12-18 2012-02-08 大陽日酸株式会社 気相成長装置
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US20090066033A1 (en) * 2007-09-11 2009-03-12 General Electric Company Barrier sealing system
US8465592B2 (en) * 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US8465591B2 (en) * 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US20090324826A1 (en) * 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5195175B2 (ja) * 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8808456B2 (en) * 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP2010087467A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5253933B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5276388B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
US8961691B2 (en) * 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP5280964B2 (ja) * 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5253932B2 (ja) * 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP2010084230A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置及び回転テーブル
JP5062143B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
JP2010153769A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP5031013B2 (ja) * 2008-11-19 2012-09-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
JP2010126797A (ja) * 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
JP5056735B2 (ja) * 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
JP5083193B2 (ja) * 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20100227059A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5107285B2 (ja) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
JP5141607B2 (ja) * 2009-03-13 2013-02-13 東京エレクトロン株式会社 成膜装置
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
JP5444961B2 (ja) * 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5396264B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
US8034723B2 (en) * 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5482196B2 (ja) * 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2012054508A (ja) * 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
JP5579009B2 (ja) * 2010-09-29 2014-08-27 東京エレクトロン株式会社 成膜装置および成膜方法
JP2012084598A (ja) * 2010-10-07 2012-04-26 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
US20120222620A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
JP5870568B2 (ja) * 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
JP5640894B2 (ja) * 2011-05-26 2014-12-17 東京エレクトロン株式会社 温度測定装置、温度測定方法、記憶媒体及び熱処理装置
JP5630379B2 (ja) * 2011-05-26 2014-11-26 東京エレクトロン株式会社 温度測定装置、温度測定方法、記憶媒体及び熱処理装置
JP5630393B2 (ja) * 2011-07-21 2014-11-26 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5696619B2 (ja) * 2011-08-17 2015-04-08 東京エレクトロン株式会社 成膜装置
JP5644719B2 (ja) * 2011-08-24 2014-12-24 東京エレクトロン株式会社 成膜装置、基板処理装置及びプラズマ発生装置
JP5780062B2 (ja) * 2011-08-30 2015-09-16 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5712874B2 (ja) * 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5765154B2 (ja) * 2011-09-12 2015-08-19 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5712879B2 (ja) * 2011-09-22 2015-05-07 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5712889B2 (ja) * 2011-10-07 2015-05-07 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5884500B2 (ja) * 2012-01-18 2016-03-15 東京エレクトロン株式会社 成膜装置
JP5993154B2 (ja) * 2012-01-20 2016-09-14 東京エレクトロン株式会社 パーティクル低減方法
JP5803706B2 (ja) * 2012-02-02 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置

Also Published As

Publication number Publication date
JP5131240B2 (ja) 2013-01-30
KR101314015B1 (ko) 2013-10-01
CN101859694B (zh) 2013-03-27
US8882915B2 (en) 2014-11-11
KR20100112532A (ko) 2010-10-19
TW201109465A (en) 2011-03-16
CN101859694A (zh) 2010-10-13
JP2010245448A (ja) 2010-10-28
US20100260935A1 (en) 2010-10-14

Similar Documents

Publication Publication Date Title
TWI441942B (zh) 成膜裝置、成膜方法及記憶媒體
JP5181100B2 (ja) 基板処理装置、基板処理方法及び記憶媒体
TWI488996B (zh) 成膜裝置、成膜方法、以及電腦可讀式記憶媒體
JP5423205B2 (ja) 成膜装置
KR101407112B1 (ko) 반도체 처리용 성막 장치
TWI506159B (zh) 成膜裝置
JP5310283B2 (ja) 成膜方法、成膜装置、基板処理装置及び記憶媒体
JP5375853B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP5062143B2 (ja) 成膜装置
TWI523970B (zh) 成膜裝置(一)
JP5093162B2 (ja) 成膜装置、成膜方法及び記憶媒体
KR20100028491A (ko) 성막 장치, 기판 처리 장치, 성막 방법 및 기억 매체
JP2010056470A (ja) 成膜装置及び成膜方法
KR20140049955A (ko) 성막 방법 및 성막 장치
JP5549754B2 (ja) 成膜装置
JP5447632B2 (ja) 基板処理装置
JP2010129983A (ja) 成膜装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees