JP6968993B2 - 金属膜の選択的堆積のための方法及び前駆体 - Google Patents

金属膜の選択的堆積のための方法及び前駆体 Download PDF

Info

Publication number
JP6968993B2
JP6968993B2 JP2020518789A JP2020518789A JP6968993B2 JP 6968993 B2 JP6968993 B2 JP 6968993B2 JP 2020518789 A JP2020518789 A JP 2020518789A JP 2020518789 A JP2020518789 A JP 2020518789A JP 6968993 B2 JP6968993 B2 JP 6968993B2
Authority
JP
Japan
Prior art keywords
metal
substrate
precursor
blocking
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020518789A
Other languages
English (en)
Other versions
JP2020537037A (ja
Inventor
カート フレドリクソン,
アタシ バス,
ミハエラ バルシーヌ,
ニン リー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020537037A publication Critical patent/JP2020537037A/ja
Application granted granted Critical
Publication of JP6968993B2 publication Critical patent/JP6968993B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Description

[0001]本開示の実施形態は、金属膜を選択的に堆積させるための方法に関する。より具体的には、本開示の実施形態は、二酸化ケイ素にではなく、窒化ケイ素に金属膜を選択的に堆積させる方法を対象とする。
[0002]半導体産業は、デバイスの小型化を追求するにあたって多くの課題に直面しており、これには、ナノスケールフィーチャの急速なスケーリングが関わる。このような問題には、複数のリソグラフィ工程及びエッチングを伴う複雑なデバイス製造処理の導入が含まれる。さらに、半導体産業では、複雑な構造体をパターニングするために、高コストのEUVに代わる低コストの代替法が望まれている。デバイスの小型化の進行を維持し、チップの製造コストを抑えるために、選択的堆積は、集積スキームを単純化してコストのかかるリソグラフィ工程を取り除く可能性を有するので、有望であることを示した。
[0003]材料の選択的堆積は、様々な方法で達成することができる。例えば、幾つかの処理は、表面の化学的性質のみに基づいて、その表面に対して固有の選択性を有する場合がある。このような処理は、かなり稀であり、通常、金属や誘電体のように、表面エネルギーが著しく異なる表面を有する必要がある。表面が類似している場合(SiO対SiN)、これらの表面は、一方の表面と選択的に反応し、他方の表面とは反応しない表面処理を利用することによって選択的に遮断する必要があり、それにより、後続の堆積処理の間、表面の任意の反応が効果的に遮断される。
[0004」選択領域原子層堆積(selective-area atomic layer deposition:SA-ALD)は、特定の材料にのみ選択的に堆積させ、他の材料には堆積させないために使用することができる。幾つかの実施形態では、堆積が達成されない表面は、化学抑制剤又は表面処理によって遮断される。しかし、幾つかの堆積前駆体は、現在の技術では効果的に遮断されない。
[0005」したがって、当該技術分野では、特定の表面への堆積を抑制しつつ、他の表面に金属含有膜を選択的に堆積させる方法及び材料が継続的に必要とされている。
[0006]本開示の1つ以上の実施形態は、金属膜を選択的に堆積させる方法を対象とする。当該方法は、SiOを含む第1の表面及びSiを含む第2の表面を有する基板を遮断前駆体に曝露して、遮断された第1の表面を形成することを含む。遮断前駆体は、式RSi−Xの化合物を含み、式中、各Rは、独立してC1〜C4アルキルであり、Xは、反応性ハンドルである。基板は、金属前駆体に曝露される。金属前駆体は、式M(NR’の化合物を含み、式中、各R’は、独立してC1〜C4アルキルであり、aは、1以上である。基板は、試薬に曝露され、金属前駆体と反応し、第2の層上に金属膜が形成される。
[0007]本開示の追加の実施形態は、窒化チタン膜を選択的に堆積させる方法を対象とする。当該方法は、SiOを含む第1の表面及びSiを含む第2の表面を有する基板を遮断前駆体に曝露して、遮断された第1の表面を形成することを含む。遮断前駆体は、トリメチルシリルピロリジンを含む。基板は、金属前駆体に曝露され、第2の表面に金属種の層が堆積される。金属前駆体は、TDEATを含む。基板は、アンモニアに曝露され、第2の層上の金属種の層と反応し、窒化チタン膜が形成される。
[0008]本開示のさらなる実施形態は、酸化ハフニウム膜を選択的に堆積させる方法を対象とする。当該方法は、SiOを含む第1の表面及びSiを含む第2の表面を有する基板を遮断前駆体に曝露して、遮断された第1の表面を形成することを含む。遮断前駆体は、トリメチルシリルピロリジンを含む。基板は、金属前駆体に曝露され、第2の表面に金属種の層が堆積される。金属前駆体は、PDMAHを含む。基板は、水に曝露され、第2の層上の金属種の層と反応し、酸化ハフニウム膜が形成される。
[0009]本開示の上述の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより具体的な説明は、諸実施形態を参照することによって、得ることができる。そのうちの幾つかの実施形態は添付の図面で例示されている。しかし、本開示は他の等しく有効な実施形態も許容し得ることから、添付の図面は、この開示の典型的な実施形態のみを例示しており、したがって、本開示の範囲を限定すると見なすべきではないことに留意されたい。
本開示の1つ以上の実施形態に係る、選択的堆積処理を示す。 本開示の1つ以上の実施形態に係る、基板を処理するための例示的なシステムを示す。
[0012]本開示の実施形態は、酸化ケイ素表面上の窒化ケイ素表面上に金属膜を選択的に堆積させる方法及び材料を提供する。様々な実施形態の処理は、原子層堆積(ALD)を使用して、金属膜を基板の部分に供給する。
[0013]本明細書で使用される「基板表面」とは、基板の任意の部分、又は、膜処理が実行される基板上に形成される材料表面の任意の部分のことを指す。例えば、処理を実行することができる基板表面には、用途に応じて、ケイ素、酸化ケイ素、窒化ケイ素、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、並びに金属、窒化金属、金属合金、及び他の導電性材料などの任意の他の材料のような材料が含まれる。基板は、半導体ウエハを含むが、それに限定されない。基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、UV硬化、電子ビーム(eビーム)硬化、且つ/又はベークするために、基板を前処理プロセスに曝露してもよい。基板自体の表面上で直接膜処理することに加えて、本開示では、開示された任意の膜処理ステップは、以下でより詳細に開示される基板上に形成された下層にも実施され得る。「基板表面」という用語は、文脈が示すように、このような下層を含むことが意図されている。ゆえに、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されていれば、新たに堆積される膜/層の露出面が基板表面となる。基板は、直径200mm又は300mmのウエハや、長方形又は正方形のペインなどの、様々な寸法を有し得る。幾つかの実施形態では、基板は、剛性でディスクリートな材料を含む。
[0014」本明細書で使用される「原子層堆積(atomic layer deposition)」とは、基板を2つ以上の堆積ガスに連続的に曝露し、基板表面上に材料の層を堆積させることを指す。本明細書及び添付の特許請求の範囲で使用される用語「反応性化合物」、「反応性ガス」、「反応種」、「前駆体」、「処理ガス」、「堆積ガス」などは、交換可能に使用され、化学反応(例えば、置換、除去、添加、酸化、還元)において基板表面と又は基板表面上の材料と反応可能な種を有する物質を意味する。基板又は基板の部分は、処理チャンバの反応区域内に導入される2つ以上の反応性化合物に連続的に曝露される。時間領域処理では、各反応性化合物への曝露が時間遅延によって分けられることにより、各化合物が、基板表面と反応してその次に処理チャンバからパージされることが可能になる。空間処理では、基板表面の異なる部分又は基板表面上の材料は、基板上の任意の所与の点が1つより多くの反応性化合物に同時に実質的に曝露されないように、2つ以上の反応性化合物に同時に曝露される。本明細書及び添付の特許請求の範囲で使用されるように、この観点で使用される用語「実質的に(substantially)」とは、当業者によって理解されるように、基板の小さな部分が、拡散に起因して複数の反応性ガスに同時に曝露される可能性があり、その同時曝露は意図されていないことを意味する。
[0015]時間領域処理の一態様では、第1の反応性ガス(すなわち、第1の前駆体又は化合物A)が反応区域内にパルス供給され、その後、第1の時間遅延が伴う。次に、第2の前駆体又は化合物Bが反応区域内にパルス供給され、その後、第2の遅延が伴う。各時間遅延の間、アルゴンのようなパージガスが処理チャンバ内に導入され、反応区域がパージされるか、又はさもなければ、反応区域から任意の残留反応性化合物又は反応性副生成物が除去される。代替的に、反応性化合物のパルス間の時間遅延の間、パージガスのみが流動するように、堆積処理全体にわたってパージガスが連続的に流動し得る。反応性化合物は、所望の分子層又は層厚が基板表面上に形成されるまで代替的にパルス供給される。いずれの場合でも、化合物A、パージガス、化合物B、及びパージガスをパルス供給する処理は、サイクルによって行われる。サイクルは、化合物A又は化合物Bのいずれかで開始され、所定の厚さを有する膜が達成されるまで、サイクルのそれぞれの順序が続けられてもよい。
[0016」空間処理の一実施形態では、第1の反応性ガス及び第2の反応性ガスは、同時に反応区域に供給されるが、不活性ガスカーテン及び/又は真空カーテンによって分離される。基板上の任意の所与の点が、第1の反応性ガス及び第2の反応性ガスに、同時にではないが、曝露されるように、基板は、ガス供給装置に対して移動させられる。
[0017]本開示の1つ以上の実施形態は、酸化ケイ素(SiO)表面上に実質的に堆積させることなく、Si表面上に金属膜を選択的に堆積させる方法を有利に提供する。幾つかの実施形態では、選択的堆積は、SiO表面と選択的に反応して遮断された表面を形成する遮断分子を利用する選択的表面遮断ステップを組み合わせることによって有利に達成される。堆積は、遮断されないままの他の基板表面で進行する。
[0018]本開示の1つ以上の実施形態の一般的な表面メカニズムを実行して、SiO表面を遮断し、その後、金属膜をSiに堆積させながら、SiO表面への金属膜の堆積を停止するか、又は最小限に抑えることができる。如何なる特定の動作の理論に縛られるわけではないが、本明細書に記載された遮断分子は、本明細書に記載された金属前駆体と共に使用した場合、金属前駆体とSiO表面との反応を防止すると考えられる。
[0019]幾つかの実施形態では、SiO表面群は、Si−NHではなくSi−OHと反応性を有する遮断分子と反応し得る。これらの分子は、溶液形態又はニート形態で、気相供給を介して基板に導入され得る。選択的な表面遮断の後、ALD又はCVD処理を利用して、窒化ケイ素表面上に金属膜を選択的に成長させることができる。
[0020]図1を参照すると、本開示の1つ以上の実施形態は、膜を選択的に堆積させる方法を対象とする。この方法は、第1の材料20及び第2の材料30を含む基板10を設けることを含む。このように使用される「基板を設ける(providing a substrate)」という表現は、基板が、処理のための位置(例えば、処理チャンバ内の位置)に配置されることを意味する。第1の材料20は、水酸化物末端21を有する第1の表面25を有する。第2の材料30は、アミン末端31を有する第2の表面35を有する。
[0021]幾つかの実施形態では、第1の表面25は、酸化ケイ素(SiO)を含む。幾つかの実施形態では、第1の表面25は、実質的に酸化ケイ素からなる。本明細書及び添付の特許請求の範囲で使用されるように、記載の化合物から「実質的になる」材料とは、材料の約95%、98%、又は99%以上が、記載の化合物であることを意味する。
[0022]幾つかの実施形態では、第2の表面35は、Siを含む。幾つかの実施形態では、第2の表面35は、実質的にSiからなる。この点に関して使用されているSiは、ケイ素及び窒素を含む。幾つかの実施形態では、第2の表面35は、実質的にケイ素及び窒素ならなる。概して、これらの材料は、窒化ケイ素を含み得る。幾つかの実施形態では、第2の表面35の材料は、化学量論的窒化ケイ素である。幾つかの実施形態では、第2の表面35におけるケイ素対窒素の比は、約3:4である。幾つかの実施形態では、ケイ素対窒素原子の比は、非化学量論比である。幾つかの実施形態では、第2の表面35におけるケイ素対窒素の比は、3:4より小さい。幾つかの実施形態では、第2の表面35におけるケイ素対窒素の比は、3:4より大きい。
[0023]基板10、並びに第1の表面25及び第2の表面35は、遮断前駆体60に曝露される。遮断前駆体は、アミン末端31表面ではなく、水酸化物末端21表面と反応し得る任意の適切な化合物であり得る。遮断前駆体60は、水酸化物末端21表面と反応して、第1の材料20上に遮断された第1の表面23を形成する。
[0024]幾つかの実施形態では、遮断前駆体60は、一般式RSi−X(式中、各Rは、独立してアルキル基であり、Xは、反応性ハンドルである)の化合物を含む。幾つかの実施形態では、各Rは、独立してC1〜4アルキル基である。これに関して使用されるC1〜C4アルキルは、1から4個の炭素原子を有する飽和炭素鎖を意味する。幾つかの実施形態では、これらの炭素鎖は、線状である。幾つかの実施形態では、これらの炭素鎖は、分岐状である。幾つかの実施形態では、各Rはメチルである。幾つかの実施形態では、Xは、ハロゲン化物、アジ化物、アミノ、ヒドラジド、シアン化物、又はイソシアネート基から選択される。幾つかの実施形態では、Xは、直鎖C1〜6アルキル基又は分岐C1〜4アルキル基を有する、一級、二級、又は三級アミンを含む。幾つかの実施形態では、Xは、六員環までを有する環状アミンである。幾つかの実施形態では、Xは、環状ピロリル基(cyclic pyrrolyl group)(−N(CH)を含む。幾つかの実施形態では、遮断前駆体60は、トリメチルシリルピロリジン(trimethylsilylpyrrolidine)(CHSiN(CHを含む。幾つかの実施形態では、遮断前駆体60は、実質的にトリメチルシリルピロリジンからなる。このように使用される「実質的に〜からなる(consists essentially of)」という表現は、遮断前駆体の反応性成分(不活性物、希釈剤、又はキャリア種を含まない)が、モルベースで、記載の種の約95%、98%、又は99%以上であることを意味する。
[0025]遮断された第1の表面23を形成した後、第2の材料30上に金属膜40の選択的堆積70を行うことができる。金属膜40は、熟練した技術者に知られている任意の適切な堆積技法によって堆積することができる。適切な技法には、化学気相堆積、原子層堆積、又は物理的気相堆積が含まれるが、これらに限定されない。
[0026]基板10、並びに遮断された第1の表面23及び第2の表面35は、金属前駆体に曝露される。幾つかの実施形態では、金属前駆体は、第2の表面35へ化学吸着され、第2の表面35に金属種の層を堆積させる。これらの実施形態では、第2の表面上の金属種の層は、試薬と反応して、金属膜40を形成する。幾つかの実施形態では、金属前駆体と試薬は、同時に存在して、反応し、第2の表面35に金属膜40を形成する。幾つかの実施形態では、遮断前駆体60、金属前駆体、及び試薬は、それぞれ、個別に基板10に曝露される。幾つかの実施形態では、金属前駆体及び試薬は、同時に基板10に曝露される。幾つかの実施形態では、時間領域ALD処理によって金属膜40が堆積される。幾つかの実施形態では、空間ALD処理によって金属膜40が堆積される。
[0027]金属膜とは、金属含有材料を説明するために使用される一般的な用語である。幾つかの実施形態では、金属膜は、純粋な金属膜である。このように使用される「純粋な金属膜」とは、金属原子が、原子ベースで、金属膜の約98%、99%、又は99.5%以上であることを意味する。幾つかの実施形態では、金属膜は、他の原子を含む。幾つかの実施形態では、金属膜は、酸素、窒素、炭素、ケイ素、ホウ素、又はゲルマニウムのうちの1つ以上を含む。
[0028]金属前駆体は、試薬と反応して金属膜40を形成することができる任意の適切な化合物であり得る。幾つかの実施形態では、金属前駆体は、式M(NR’の化合物を含み、式中、各R’は、独立してC1〜C4アルキルであり、aは、1以上である。これに関して使用されるC1〜C4アルキルは、1から4個の炭素原子を有する飽和炭素鎖を意味する。幾つかの実施形態では、これらの炭素鎖は、線状である。幾つかの実施形態では、これらの炭素鎖は、分岐状である。上記の一般式の化合物は、aが1以上である限り、他のリガンドをさらに含有してもよい。幾つかの実施形態では、金属前駆体は、いかなる金属ハロゲン化物も含まない。幾つかの実施形態では、膜はチタンを含み、金属前駆体はTiClを全く含まない。
[0029]理論に縛られるわけではないが、本明細書に開示されるアミノ金属錯体は、関連する金属ハロゲン化物よりもはるかに大きいと考えられる。したがって、本明細書に開示される遮断前駆体60は、第1の表面25と反応した後、アミノ金属錯体を遮断するのに効果があるが、金属ハロゲン化物が第1の表面25と反応するのを遮断するのに効果はない。このようにして、開示された方法は、遮断前駆体60によって遮断されないままの第2の表面35への選択的堆積をもたらす。
[0030]理論に縛られるわけではないが、本明細書に開示されるアミノ金属錯体は、関連する金属ハロゲン化物よりも大きな立体障害を有すると考えられる。したがって、アミノ金属錯体は、第2の表面35と反応するときよりも、遮断された第1の表面23と反応するときに高いエネルギーバリアを有する。したがって、金属前駆体と第2の表面35との反応は、遮断された第1の表面23との反応よりも熱力学的に好適である。
[0031]金属前駆体の金属は、任意の適切な金属であってもよい。幾つかの実施形態では、金属前駆体の金属は、Ti、Zr、Hf、又はTaから選択される。幾つかの実施形態では、金属前駆体は、実質的にTiを含有する化合物からなる。幾つかの実施形態では、金属前駆体は、実質的にZrを含有する化合物からなる。幾つかの実施形態では、金属前駆体は、実質的にHfを含有する化合物からなる。幾つかの実施形態では、金属前駆体は、実質的にTaを含有する化合物からなる。
[0032]幾つかの実施形態では、各R’は、メチルである。幾つかの実施形態では、各R’は、エチルである。幾つかの実施形態では、単一のリガンド内のR’基は、同一である(例えば、N(CH)。幾つかの実施形態では、単一のリガンド内のR’基は、それぞれ異なっている(例えば、N(CH)(C)。
[0033]幾つかの実施形態では、aは4以上である、幾つかの実施形態では、金属前駆体は、実質的にTi(N(CH(TDMAT)からなる。幾つかの実施形態では、金属前駆体は、実質的にTi(N(C(TDEAT)からなる。幾つかの実施形態では、金属前駆体は、実質的にHf(N(CH(PDMAH)からなる。このように使用される「実質的に〜からなる(consists essentially of)」という表現は、金属前駆体の反応性成分(不活性物、希釈剤、又はキャリア種を含まない)が、モルベースで、記載の種の約95%、98%、又は99%以上であることを意味する。
[0034]試薬は、金属前駆体と反応して金属膜40を形成することができる任意の適切な化合物であり得る。適切な反応体には、水素、アンモニア、ヒドラジン、ヒドラジン誘導体、及び金属又は金属窒化物膜を作製するその他の共反応体が含まれ得るが、これらに限定されない。適切な反応体には、酸素、オゾン、水、及び金属又は金属酸化物膜を作製するその他の酸素系試薬が含まれ得るが、これらに限定されない。幾つかの実施形態では、試薬のプラズマを使用して、金属膜40が形成される。幾つかの実施形態では、試薬は、水素、アンモニア、又は水のうちの1つ以上を含む。
[0035」幾つかの実施形態では、試薬は、実質的にアンモニアからなり、金属膜は、金属窒化物膜である。幾つかの実施形態では、試薬は、実質的に水からなり、金属膜は、金属酸化物膜である。このように使用される「実質的に〜からなる(consists essentially of)」という表現は、試薬の記載の成分(不活性物、希釈剤、又はキャリア種を含まない)が、モルベースで、試薬の約95%、98%、又は99%以上であることを意味する。このように使用される金属窒化物膜は、金属及び窒素原子を含む任意の膜である。このように使用される金属酸化物膜は、金属及び酸素原子を含む任意の膜である。金属(例えば、金属窒化物又は金属酸化物)以外の原子を含む膜は、化学量論比で原子を含むことがあり、又は含まないことがある。
[0036]金属膜40の形成の後、遮断された第1の表面23は、第1の材料20上に残されてもよく、又は除去されてもよい。遮断された第1の表面23は、基本的に、材料の1つの単層であるので、処理条件及び堆積される後続の膜に応じて、さらなる処理に干渉しないことがある。幾つかの実施形態では、遮断された第1の表面23は、さらなる処理の前に除去される。第2の材料30に堆積された金属膜40を実質的に損傷することなく遮断された第1の表面23を除去することができる任意の適切な技法によって、遮断された第1の表面23を除去することができる。適切な技法には、酸化又はエッチングが含まれるが、これらに限定されない。酸化は、酸化剤(例えば、酸素プラズマ、オゾン、高温酸素アニール、過酸化物、又は水)への曝露により行うことができる。
[0037]本明細書に記載の方法は、任意の適切な温度で実施することができる。幾つかの実施形態では、基板は、約150℃から約450℃、約200℃から約400℃、約250℃から約375℃、約225℃から約350℃、又は約250℃から約350℃の範囲の温度で維持される。幾つかの実施形態では、基板は、約450℃以下、約400℃以下、約375℃以下、約350℃以下、約300℃以下、又は約250℃以下の温度で維持される。幾つかの実施形態では、基板は、約150℃以上、約200℃以上、約225℃以上、約250℃以上、約300℃以上、又は約350℃以上の温度で維持される。
[0038]図2を参照すると、本開示のさらなる実施形態は、本明細に記載された方法を実行するための処理システム900を対象とする。図2は、本開示の1つ以上の実施形態に係る、基板を処理するために使用できるシステム900を示す。システム900は、クラスタツールと呼ばれ得る。システム900は、ロボット912を内部に備えた中央移送ステーション910を含む。ロボット912は、単一のブレードロボットとして図示されているが、当業者であれば、他のロボット912構成も本開示の範囲内であること認識するであろう。ロボット912は、中央移送ステーション910に接続されたチャンバ間で1つ以上の基板を移動させるように構成されている。
[0039]少なくとも1つの予洗浄/バッファチャンバ920が、中央移送ステーション910に接続されている。予洗浄/バッファチャンバ920は、ヒータ、ラジカル源、又はプラズマ源のうちの1つ以上を含み得る。予洗浄/バッファチャンバ920は、個々の半導体基板のための、又は処理用ウエハのカセットのための保持領域として使用され得る。予洗浄/バッファチャンバ920は、予洗浄処理を実行することができ、又は処理用の基板を予熱することができ、又は単に処理シーケンスのための段階的領域であってもよい。幾つかの実施形態では、中央移送ステーション910に接続された2つの予洗浄/バッファチャンバ920がある。
[0040]図2に示す実施形態では、予洗浄チャンバ920は、工場インターフェース905と中央移送ステーション910との間の通過チャンバとして機能し得る。工場インターフェース905は、基板をカセットから予洗浄/バッファチャンバ920へ移動させることができる1つ以上のロボット906を含み得る。次いで、ロボット912は、基板を、予洗浄/バッファチャンバ920からシステム900内の他のチャンバへ移動させることができる。
[0041]第1の処理チャンバ930は、中央移送ステーション910に接続され得る。第1の処理チャンバ930は、遮断層堆積チャンバとして構成され、反応性ガスの1つ以上の流れを第1の処理チャンバ930に供給するために、1つ以上の反応性ガス源と流体連通し得る。基板は、隔離弁914を通過するロボット912によって、処理チャンバ930を出入りするように移動することができる。
[0042」処理チャンバ940も中央移送ステーション910に接続され得る。幾つかの実施形態では、処理チャンバ940は、選択的堆積チャンバを備え、反応性ガスの流れを処理チャンバ940に供給するために1つ以上の反応性ガス源と流体連通し、等方性エッチング処理を実行する。基板は、隔離弁914を通過するロボット912によって、処理チャンバ940を出入りするように移動することができる。
[0043]処理チャンバ945も中央移送ステーション910に接続され得る。幾つかの実施形態では、処理チャンバ945は、処理チャンバ940と同じ処理を実行するように構成された、処理チャンバ940と同じ種類のチャンバである。この構成は、処理チャンバ940内で発生する処理が、処理チャンバ930内の処理よりもはるかに長い時間を要する場合に有用であり得る。
[0044]幾つかの実施形態では、処理チャンバ960は、中央移送ステーション910に接続され、遮断層堆積チャンバとして機能するように構成されている。幾つかの実施形態では、処理チャンバ930及び処理チャンバ960は、2つの基板に同時に堆積処理を実行するように構成され得る。そして、処理チャンバ940及び処理チャンバ945は、選択的堆積処理を実行するように構成され得る。
[0045]幾つかの実施形態では、処理チャンバ930、940、945、及び960は、それぞれ、処理方法のうちの異なる部分を実行するように構成されている。例えば、処理チャンバ930は、遮断層堆積処理を実行するように構成されてもよく、処理チャンバ940は、選択的堆積処理を実行するように構成されてもよく、処理チャンバ945は、測定ステーションとして構成されてもよく、又は、第1の選択的エピタキシャル成長処理を実行するように構成されてもよく、処理チャンバ960は、第2のエピタキシャル成長処理を実行するように構成されてもよい。熟練した技術者であれば、ツールの個々の処理チャンバの数と配置を変えることが可能であり、図2に示す実施形態は、1つの可能な構成を表すものに過ぎないことを理解するであろう。
[0046]幾つかの実施形態では、処理システム900は、1つ以上の測定ステーションを含む。例えば、測定ステーションは、予洗浄/バッファチャンバ920の中、中央移送ステーション910の中、又は個々の処理チャンバのいずれかの中に配置され得る。計測ステーションは、典型的には基板を酸化環境に曝すことなく、基板の測定を可能にするシステム900内の任意の位置に配置され得る。
[0047]少なくとも1つのコントローラ950は、中央搬送ステーション910、予洗浄/バッファチャンバ920、処理チャンバ930、940、945、又は960のうちの1つ以上に連結される。幾つかの実施形態では、個々のチャンバ又はステーションに接続されるコントローラ950が1つ以上あり、システム900を制御するために、主制御プロセッサが、個々のプロセッサのそれぞれに連結される。コントローラ950は、任意の形態の汎用コンピュータプロセッサ、マイクロコントローラ、マイクロプロセッサなどのうちの1つであってもよく、これらは、様々なチャンバ及びサブプロセッサを制御するために産業環境で使用することができる。
[0048]少なくとも1つのコントローラ950は、プロセッサ952、プロセッサ952に連結されたメモリ954、プロセッサ952に連結された入力/出力デバイス956、及び異なる電子構成要素間の通信のためのサポート回路958を有し得る。メモリ954には、一過性メモリ(例えば、ランダムアクセスメモリ)、及び非一過性メモリ(例えば、ストレージ)のうちの1つ以上が含まれ得る。
[0049]プロセッサのメモリ954又はコンピュータ可読媒体は、容易に入手可能なメモリのうちの1つ以上(ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、又は任意の他の形態のローカル若しくは遠隔デジタルストレージ等)であり得る。メモリ954は、システム900のパラメータ及び構成要素を制御するためにプロセッサ952によって動作可能な命令セットを保持し得る。サポート回路958は、従来の様態でプロセッサを支持するためにプロセッサ952に連結される。回路には、例えば、キャッシュ、電源、クロック回路、入出力回路、サブシステム等が含まれ得る。
[0050」処理は、概して、ソフトウェアルーチンとしてメモリ内に記憶される。このソフトウェアルーチンは、プロセッサによって実行されると、処理チャンバに本開示の処理を実行させる。当該ソフトウェアルーチンは、プロセッサによって制御されるハードウェアから遠隔に位置する第2のプロセッサ(図示せず)によって記憶且つ/又は実行され得る。本開示の方法の一部又はすべてをハードウェアで実行することもできる。したがって、処理は、ソフトウェア内に実装され、コンピュータシステムを使用して、例えば、特定用途向け集積回路若しくは他の種類のハードウェア実装形態としての、又はソフトウェアとハードウェアとの組合せとしてのハードウェア内で実行され得る。ソフトウェアルーチンは、プロセッサによって実行されると、汎用コンピュータを、処理が実行されるようにチャンバの動作を制御する特定用途コンピュータ(コントローラ)に変換する。
[0051]幾つかの実施形態では、コントローラ950は、個々の処理又は二次処理を実行して当該方法を実行するための1つ以上の構成を有する。コントローラ950は、中間構成要素に接続され、中間構成要素を作動させて方法の機能を実行するように構成され得る。例えば、コントローラ950は、ガス弁、アクチュエータ、モータ、スリット弁、真空制御などの1つ以上に接続され、これらを制御するように構成され得る。
[0052]幾つかの実施形態のコントローラ950は、ロボット上の基板を複数の処理チャンバと測定ステーションとの間で移動させる構成形態、基板をシステムにロード且つ/又はシステムからアンロードする構成形態、基板の第1の表面に遮断層を堆積させる構成形態、基板の第2の表面に金属膜を形成する構成形態から選択された1つ以上の構成形態を有する。
[0053」本明細書の開示は、特定の実施形態を参照して説明されているが、これらの実施形態は、本開示の原理及び用途の例示に過ぎないことを理解されたい。当業者であれば、本開示の精神及び範囲から逸脱せずに、様々な改変及び変形を本開示の方法及び装置に対して行うことができることが明らかであろう。ゆえに、本開示は、添付の特許請求の範囲及びその均等物に含まれる改変例及び変形例を含むことが意図されている。

Claims (15)

  1. 金属膜を選択的に堆積させる方法であって、
    SiOを含む第1の表面及びSiを含む第2の表面を有する基板を遮断前駆体に曝露して、遮断された第1の表面を形成することであって、前記遮断前駆体が、式RSi−Xの化合物を含み、式中、各Rは、独立してC1〜C4アルキルであり、Xは、反応性ハンドルである、遮断された第1の表面を形成することと、
    前記基板を金属前駆体に曝露することであって、前記金属前駆体が、式M(NR’の化合物を含み、式中、各R’は、独立してC1〜C4アルキルであり、aが、1以上である、前記基板を金属前駆体に曝露することと、
    前記基板を試薬に曝露して前記金属前駆体と反応させ、前記第2の表面上に金属膜を形成することと
    を含む方法。
  2. 各曝露が、別々に起きる、請求項1に記載の方法。
  3. 各Rが、メチルである、請求項1に記載の方法。
  4. Xが、ハロゲン化物、アジ化物、アミノ、ヒドラジド、シアン化物、又はイソシアネート基から選択される、請求項1に記載の方法。
  5. Xは、直鎖C1〜6アルキル基又は分岐C1〜4アルキル基を有する、一級、二級、又は三級アミンを含む、請求項4に記載の方法。
  6. Xが、ピロリル基である、請求項4に記載の方法。
  7. 前記遮断前駆体が、実質的にトリメチルシリルピロリジンからなる、請求項1に記載の方法。
  8. 前記金属前駆体が、Ti、Zr、Hf、又はTaのうちの1つ以上を含む、請求項1に記載の方法。
  9. 各R’が、メチルである、請求項1に記載の方法。
  10. 各R’が、エチルである、請求項1に記載の方法。
  11. aが、4以上である、請求項1に記載の方法。
  12. 前記試薬が、実質的にアンモニアからなり、前記金属膜が、金属窒化物膜である、請求項1に記載の方法。
  13. 前記試薬が、実質的に水からなり、前記金属膜が、金属酸化物膜である、請求項1に記載の方法。
  14. 前記基板が、約200℃から約400℃の範囲内の温度で維持される、請求項1に記載の方法。
  15. 窒化チタン膜を選択的に堆積させる方法であって、
    SiOを含む第1の表面及びSiを含む第2の表面を有する基板を遮断前駆体に曝露して、遮断された第1の表面を形成することであって、前記遮断前駆体が、トリメチルシリルピロリジンを含む、遮断された第1の表面を形成することと、
    前記基板を金属前駆体に曝露して、前記第2の表面に金属種の層を堆積させることであって、前記金属前駆体が、TDEATを含む、金属種の層を堆積させることと、
    前記基板をアンモニアに曝露して前記第2の表面上の前記金属種の層と反応させ、窒化チタン膜を形成することと
    を含む方法。
JP2020518789A 2017-10-06 2018-10-05 金属膜の選択的堆積のための方法及び前駆体 Active JP6968993B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762569240P 2017-10-06 2017-10-06
US62/569,240 2017-10-06
PCT/US2018/054736 WO2019071215A1 (en) 2017-10-06 2018-10-05 METHODS AND PRECURSORS FOR SELECTIVE DEPOSITION OF METALLIC FILMS

Publications (2)

Publication Number Publication Date
JP2020537037A JP2020537037A (ja) 2020-12-17
JP6968993B2 true JP6968993B2 (ja) 2021-11-24

Family

ID=65994937

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020518789A Active JP6968993B2 (ja) 2017-10-06 2018-10-05 金属膜の選択的堆積のための方法及び前駆体

Country Status (4)

Country Link
US (2) US11515151B2 (ja)
JP (1) JP6968993B2 (ja)
KR (1) KR102394352B1 (ja)
WO (1) WO2019071215A1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102394352B1 (ko) * 2017-10-06 2022-05-06 어플라이드 머티어리얼스, 인코포레이티드 금속 막들의 선택적 증착을 위한 방법들 및 전구체들
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR102156663B1 (ko) * 2019-09-25 2020-09-21 솔브레인 주식회사 박막 제조 방법
JP7072012B2 (ja) * 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
US11967502B2 (en) * 2020-06-30 2024-04-23 Samsung Electronics Co., Ltd. Methods of forming material layer, semiconductor devices, and methods of manufacturing the same
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
KR102504146B1 (ko) * 2020-11-23 2023-02-27 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법
KR20220107635A (ko) * 2021-01-25 2022-08-02 에스케이하이닉스 주식회사 선택적 영역 증착 방법 및 이를 적용한 전자 소자의 제조 방법

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5254499A (en) * 1992-07-14 1993-10-19 Micron Technology, Inc. Method of depositing high density titanium nitride films on semiconductor wafers
WO2002015243A1 (fr) 2000-08-11 2002-02-21 Tokyo Electron Limited Dispositif et traitement de substrat
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US20070098902A1 (en) 2005-06-17 2007-05-03 Cornell Research Foundation, Inc. Fabricating inorganic-on-organic interfaces for molecular electronics employing a titanium coordination complex and thiophene self-assembled monolayers
WO2008136882A2 (en) * 2007-02-14 2008-11-13 The Board Of Trustees Of The Leland Stanford Junior University Fabrication method of size-controlled, spatially distributed nanostructures by atomic layer deposition
US8815344B2 (en) 2012-03-14 2014-08-26 Applied Materials, Inc. Selective atomic layer depositions
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
TWI694167B (zh) * 2015-05-01 2020-05-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
KR102080114B1 (ko) * 2015-09-21 2020-02-24 주식회사 원익아이피에스 질화막의 제조방법
JP6576277B2 (ja) * 2016-03-23 2019-09-18 東京エレクトロン株式会社 窒化膜の形成方法
KR102394352B1 (ko) * 2017-10-06 2022-05-06 어플라이드 머티어리얼스, 인코포레이티드 금속 막들의 선택적 증착을 위한 방법들 및 전구체들

Also Published As

Publication number Publication date
KR102394352B1 (ko) 2022-05-06
JP2020537037A (ja) 2020-12-17
US20200312653A1 (en) 2020-10-01
US11515151B2 (en) 2022-11-29
WO2019071215A1 (en) 2019-04-11
US11887847B2 (en) 2024-01-30
US20230170210A1 (en) 2023-06-01
KR20200049896A (ko) 2020-05-08

Similar Documents

Publication Publication Date Title
JP6968993B2 (ja) 金属膜の選択的堆積のための方法及び前駆体
CN112204166B (zh) 渗透设备和渗透可渗透材料的方法
KR102166792B1 (ko) 금속 층들 상에 실리콘 옥사이드를 증착하기 위한 방법들 및 장치
TWI722301B (zh) 在金屬材料表面上沉積阻擋層的方法
US11139383B2 (en) Titanium aluminum and tantalum aluminum thin films
CN111602228B (zh) 通过选择性沉积的硅化物膜
KR20070013337A (ko) 높은 k 유전체 물질 상에 실리콘 옥시니트라이드 층의형성
WO2012106612A2 (en) In-situ hydroxylation system
US20130087099A1 (en) In-Situ Hydroxylation Apparatus
JP6061385B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
TW202129058A (zh) 使用原位蒸氣產生技術(issg)的金屬氧化物的熱原子層沉積
US20220270870A1 (en) Deposition of silicon-based dielectric films
WO2022251091A1 (en) V-nand stacks with dipole regions

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200529

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210615

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210713

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210928

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211027

R150 Certificate of patent or registration of utility model

Ref document number: 6968993

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150