JP2016522979A - 誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化 - Google Patents

誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化 Download PDF

Info

Publication number
JP2016522979A
JP2016522979A JP2016502295A JP2016502295A JP2016522979A JP 2016522979 A JP2016522979 A JP 2016522979A JP 2016502295 A JP2016502295 A JP 2016502295A JP 2016502295 A JP2016502295 A JP 2016502295A JP 2016522979 A JP2016522979 A JP 2016522979A
Authority
JP
Japan
Prior art keywords
substrate
neutral layer
layer
gas
dsa
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016502295A
Other languages
English (en)
Other versions
JP6139011B2 (ja
Inventor
サマーヴェル,マーク,エイチ
ラスサック,ベンジャミン,エム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2016522979A publication Critical patent/JP2016522979A/ja
Application granted granted Critical
Publication of JP6139011B2 publication Critical patent/JP6139011B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)

Abstract

層状化基板をパターン化する方法400が提供される。この方法は、コータ-現像処理システムに、基板をロードするステップ(410)、前記基板にフォトレジスト材料層をコーティングするステップ(420)、前記フォトレジスト材料層をパターン化するステップ(430)、前記基板を成膜処理システムに移送するステップ(440)、フォトレジストパターンおよび前記基板の露出部に、中立層を成膜するステップ(450)、を有する。中立層は、ガスクラスタイオンビーム(GCIB)プロセス、または原子層成膜(ALD)プロセスを用いて成膜され、これは、最小のトポグラフィーを有する。当該方法は、さらに、前記フォトレジストパターンの上に成膜された前記中立層の一部をリフトオフして、中立層テンプレートを露出させるステップ(510)、前記中立層テンプレートの上に、DSA材料層を成膜するステップ(520)、前記DSA材料層を熱処理して、DSAパターンを形成するステップ(530)、前記DSA材料層を現像して、その後の特徴物のエッチングのため、最終DSAパターンを露出させるステップ(540)を有する。

Description

本願は、誘導自己組織化を用いたパターン化プロセスに関する。
誘導自己組織化(DSA)プロセスでは、ブロックコポリマを用いてリソグラフィ構造が形成され、これは、ランダムで不規則な状態から、構造化された規則的な状態に、ブロックコポリマを再配置することにより形成される。規則状態の形態(モフォロジー)は、可変であり、ブロック高分子の相対分子重量比、ならびに周囲の化学的および物理的環境を含む、因子の数に依存する。一般的な形態には、ライン-スペース、および円柱状構造が含まれるが、他の構造も使用され得る。例えば、他の規則形態には、球状、ラメラ状、双連続ジャイロイド構造、またはミクトアーム星形構造が含まれる。
BCP薄膜において誘導自己組織化に使用される2つの一般的な方法は、グラフォエピタキシーとケミカルエピタキシーである。グラフォエピタキシー法では、ブロックコポリマの自己組織化は、予備パターン化基板により誘導される。自己配列ラメラBCPは、トポグラフィー溝に、異なるドメインの平行なライン-スペースパターンを形成し、トポグラフィーパターンのスペースをサブ分割することにより、パターンの解像度が高められる。しかしながら、このグラフォエピタキシー誘導自己組織化方式では、欠陥およびライン端の荒れが容易に生じてしまう。例えば、側壁が中立の場合、ラメラは、側壁に対して垂直に配向する傾向にあり、所望の方向に沿って、ピッチをサブ分割することができなくなる。
ケミカルエピタキシー法では、BCPドメインの自己組織化は、自己組織化BCPモフォロジーのドメインサイズまたはピッチ周期(L0)に整合したピッチ寸法を有する化学的パターンによって誘導される。化学的パターンと少なくとも一つの種類のBCPドメインの間の親和性により、化学的パターンのそれぞれの対応する領域、すなわちピン留め領域に、異なるBCPドメインの正確な配置が生じる。一つの種類のドメイン(例えばA−Bブロックコポリマ組立体のAドメイン)の親和性は、別のドメイン(例えばBドメイン)と表面の非パターン化領域との相互作用を支配し、これは、選択的または非選択的に(または中立的に)、他の種類のドメインに向かう。その結果、得られたBCP組立体におけるパターン形成は、下側の化学的パターンを直接反映することになる(すなわち、化学的予備パターンの特徴物の1:1の再現が可能になる)。また、自己組織化BCPモフォロジーのドメインサイズまたはピッチ周期(L0)に依存して、およびピン留め領域と非パターン化領域の臨界寸法(CD)に依存して、周波数増幅が達成される。しかしながら、ケミカルエピタキシー法では、化学的な予備パターンにおけるトポグラフィーのばらつきにより、寸法制御およびライン端粗さが悪影響を受ける。
化学的予備パターンにおいて、広く観察されているばらつきは、予備パターンの上部に中立層を形成する際に導入され、これは、後続のリフトオフステップでは解消されない。図1A乃至1Eを参照すると、従来技術の層状化構造100は、アンダー層102およびフォトレジストのイメージ層103がコーティングされた基板101を有する。図には、放射線106による露光後の露光領域104および非露光領域105が示されている。フォトレジストの層103は、光酸発生器を有するポジトーンのフォトレジストであるため、ポスト露光ベークが実施された際に、露光領域104は、水酸化テトラメチルアンモニウム(TMAH)水溶液のような、ポジトーン現像液に対して溶解性になる。図1Bに示すように、フォトレジストの層103の現像液への暴露により、露光領域104が除去され、開口107が提供される。図1Cに示す流体暴露ステップの後のベークステップにより、ポジのレジストライン108が形成される。ポジのレジストライン108を薄い未架橋中立層でコーティングし、その後、ベーク(熱書影)により薄い中立層を架橋することにより、架橋された中立層110が形成される。しかしながら、図1Dに示すように、中立層110の設置の際に、以前から、ポジレジストライン108の側面108aに対する中立層110のプール領域110aに苦慮している。これらのプール領域は、図2Aに示す走査型電子顕微鏡(SEM)において認められる。
その後、下側のポジトーンレジストライン108は、現像液(例えば水酸化テトラメチルアンモニウム(TMAH)水溶液)への暴露により、リフトオフされ、これは、薄い架橋化中立層110に浸透し、その後、下地のポジトーンレジストライン108を溶解する。ポジトーンレジストライン108が溶解すると、ライン108に設置された架橋化中立層110は、実質的に層状化基板100からリフトオフされる。これは、その下側の支持、すなわちポジトーンレジストライン108を失うためである。残念ながら、この後続の現像ステップでは、中立層110のプール領域110aは、除去されない。従って、この予備パターンの変形物112aは、パターン化中立層112に残留する。図2Bおよび2Cに示したSEMでは、残留プール領域は、球状端部に明確に認められる。中立層のトポロジーにおいて増大したばらつきは、DSAを形成するためのBCPの上部層の性状を低下させ、これは、欠陥の増加につながる。
従って、このような前述の限界により、改善された誘導自己組織化プロセスのため、中立層のトポロジーを改善する方法が強く望まれている。
本発明では、誘導自己組織化法の適用の際の、前述の課題、ならびに従来の予備パターン形成の他の課題、欠点、および問題を解決する。ある実施例に関して、本発明について記載するが、本発明は、これらの実施例に限定されるものではないことが理解される。逆に、本発明は、本発明の範囲に含まれる、全ての代替例、変更、および等価物を含む。
本発明の実施例では、層状化基板をパターン化する方法が提供される。当該方法は、
コータ-現像処理システムに、基板をロードするステップと、
前記基板にフォトレジスト材料をコーティングして、前記基板にフォトレジスト材料層形成するステップと、
前記フォトレジスト材料層をパターン化して、前記基板にフォトレジストパターンを形成するステップと、
前記基板を成膜処理システムに移送するステップと、
前記フォトレジストパターンおよび前記基板の露出部に、中立層を成膜するステップと、
を有する。
別の実施例では、当該方法は、さらに、
前記フォトレジスト材料のパターン化に引き続き、前記フォトレジストパターンを硬化して、後続の前記中立層の成膜に対して耐性のある前記フォトレジストパターンを得るステップ、
を有する。別の実施例では、当該方法は、さらに、
前記フォトレジスト材料のパターン化に引き続き、前記フォトレジストパターンをトリミングするステップ、
を有する。
本発明の別の実施例では、前記成膜処理システムは、ガスクラスタイオンビーム(GCIB)システムであり、前記中立層は、第1の混合ガスから形成されたガスクラスタイオンのGCIB成膜を用いて成膜される。別の実施例では、成膜処理システムは、原子層成膜(ALD)システムであり、前記中立層は、少なくとも一つの前駆体ガスを利用したALD成膜法を用いて成膜される。
さらに別の実施例では、請求項1に記載の方法は、さらに、
前記フォトレジストパターンの上に成膜された前記中立層の一部をリフトオフして、後続の自己組織化(DSA)パターン化用の中立層テンプレートを露出させるステップと、
前記中立層テンプレートの上に、DSA材料層を成膜するステップと、
前記DSA材料層を熱処理して、DSAパターンを形成するステップと、
前記DSA材料層を現像して、その後の特徴物のエッチングのため、最終DSAパターンを露出させるステップと
を有する。
本明細書の一部を構成する、導入された添付図面には、本発明の実施例を示す。以下の実施例の詳細な説明は、前述の本発明の一般的な記載とともに、本発明の原理を説明するために提供される
従来技術の実施例による、誘導自己組織化予備パターンを形成するリソグラフィプロセスを示した図である。 従来技術の実施例による、誘導自己組織化予備パターンを形成するリソグラフィプロセスを示した図である。 従来技術の実施例による、誘導自己組織化予備パターンを形成するリソグラフィプロセスを示した図である。 従来技術の実施例による、誘導自己組織化予備パターンを形成するリソグラフィプロセスを示した図である。 従来技術の実施例による、誘導自己組織化予備パターンを形成するリソグラフィプロセスを示した図である。 パターン化されたフォトレジスト層の上の、レジストラインに対するプール領域を有する中立層コーティングを示す、従来技術の走査型電子顕微鏡(SEM)の透視図である。 リフトオフプロセス後の誘導自己組織化予備パターンを示す、従来技術のSEMの透視図である。 図2Bに示したSEMの断面図である。 本発明の実施例によるDSA予備パターンを形成するリソグラフィプロセスを示した図である。 本発明の実施例によるDSA予備パターンを形成するリソグラフィプロセスを示した図である。 本発明の実施例によるDSA予備パターンを形成するリソグラフィプロセスを示した図である。 本発明の実施例によるDSA予備パターンを形成するリソグラフィプロセスを示した図である。 本発明の実施例によるDSA予備パターンを形成するリソグラフィプロセスを示した図である。 本発明の実施例によるDSA予備パターンを形成するリソグラフィプロセスを示した図である。 本発明の実施例によるDSA予備パターンを形成するリソグラフィプロセスを示した図である。 本発明の実施例による、DSA予備パターンを形成する方法を示したフローチャートである。 本発明の別の実施例による、DSA予備パターンを形成する方法を示したフローチャートである。
各種実施例において、誘導自己組織化(DSA)統合を用いて予備パターンを形成する方法について示す。しかしながら、当業者には、各種実施例は、1もしくは2以上の特定の細部を含まずに、または他の置換および/もしくは追加の方法、材料、もしくは部材を用いて実施され得ることが認識できる。他の例では、本発明の各種実施例の態様が不明確となることを避けるため、良く知られた構造、材料、または動作は、詳しく示されていない。
同様に、全体的な理解を提供するため、特定の数、材料、および構成が説明用に示されている。しかしながら、本発明の実施例は、特定の細部を含まずに、実施されてもよい。また、一例としての表現では、必ずしもスケールが示されていないことが理解される。
本明細書全体において、「一実施例」または「実施例」またはその変化形に対する参照は、特定の特徴、構造、材料、または実施例と関連する特徴的な記載が、本発明の少なくとも一つの実施例に含まれることを意味する。ただし、これらは、全ての実施例に存在することを意味するものではない。従って、本明細書全体にわたって、各場所の「ある実施例において」または「実施例において」のような文言の出現は、必ずしも本発明の同じ実施例を表すわけではない。また、特定の特徴、構造、材料、または特性は、1または2以上の実施例において、いかなる適当な態様で組み合わされてもよい。各種追加の層および/または構造が含まれてもよく、および/または記載された特徴は、別の実施例では省略されてもよい。
また、特に記載のない限り、「一つの」とは、「1または2以上」を意味することが理解される。
複数の別個の動作として、本発明を理解する上で最も有益な方法における各種動作が記載されている。しかしながら、記載の順番は、これらの動作が必ずしも順番に依存することを表すものと解してはならない。特に、これらの動作は、必ずしも、記載の順番で実施される必要はない。記載された動作は、記載された実施例とは異なる順番で、実施されてもよい。
本発明の実施例では、層状化構造をパターン化する方法は、下地の基板上に、現像された予備パターンのフォトレジスト層を提供するため、トラックに対してフォトリソグラフィプロセスを実施するステップと、成膜システムにおいて、前記現像された予備パターン化フォトレジスト層の上に中立層を形成するステップと、露出されたピン留め領域に対して、中立層の一部をリフトオフするステップと、自己組織化ブロックコポリマの層を成形し、アニール処理するステップと、自己組織化ブロックコポリマの一つの高分子ブロックの少なくとも一部を選択的に除去することにより、パターンを形成するステップと、必要な場合に行われる、下地の基板にパターンを転写するステップと、を有する。
本願において「高分子ブロック」と言う用語は、単一種(すなわちホモポリマブロック)の複数のモノマユニット、または複数の種類(すなわちコポリマブロック)の構成ユニットの、ある長さの連続高分子鎖への系列を意味し含み、これは、より大きな長さの大きな高分子の一部を形成し、χN値を示す。異なるモノマ種の他の高分子ブロックは、相分離の発生に十分である。χは、フローリー-ハギンズ相互作用パラメータであり、これは、温度に依存し、Nは、ブロックコポリマにおける重合の全度合いである。本発明の実施例では、大きな高分子における少なくとも一つの他の高分子ブロックを有する一つの高分子ブロックのχN値は、アニール温度において、約10.5以上である。
本願において、「ブロックコポリマ」と言う用語は、複数の鎖からなる高分子を意味し含み、各鎖は、前述の2または3以上の高分子ブロックを有し、少なくとも2つのブロックは、これらのブロックの相分離に対して十分な分離強度(例えばχN>10.5)を有する。ブロック高分子の幅広い多様性は、ジブロックコポリマ(すなわち2つの高分子ブロック(AB)を含む高分子)トリブロックコポリマ(すなわち3つの高分子ブロック(ABAまたはABC)を含む高分子)、マルチブロックコポリマ(すなわち4つ以上の高分子ブロック(ABCD等)を含む高分子、およびこれらの組み合わせを含むことが考慮される。
本願において、「基板」と言う用語は、上部に材料が形成されるベース材料または構成を意味し含む。基板が、単一の材料、異なる材料の複数の層、異なる材料の領域もしくは異なる構造を有する1もしくは2以上の層などを含んでも良いことは、明らかである。これらの材料は、半導体、絶縁体、導体、またはこれらの組み合わせを含んでもよい。例えば、基板は、半導体基板、サポート構造上のベース半導体層、金属電極、または1もしくは2以上の層、構造、または形成された領域を有する半導体基板であってもよい。基板は、従来のシリコン基板、または半導体材料の層を有する他のバルク基板であっても良い。本願において「バルク基板」と言う用語は、シリコンウェハに加えて、シリコンオンサファイヤ(SOS)基板およびシリコンオンガラス(SOG)基板のような、シリコンオンインシュレータ(SOI)基板、ベース半導体基礎上のシリコンのエピタキシャル層、ならびに他のシリコン-ゲルマニウム、ゲルマニウム、ガリウムヒ素、窒化ガリウム、およびリン化インジウムのような半導体もしくは光電子材料を意味し含む。基板は、ドープされてもされなくてもよい。
本願において、「マイクロ相分離」および「ミクロ相分離」という用語は、ブロックコポリマの均一ブロックが相互に凝集し、異種ブロックが別個のドメインに分離する特性を意味し含む。バルクでは、ブロックコポリマは、球状、円柱状、ラメラ状、または双連続ジャイロイドマイクロドメインを有する規則的なモフォロジーに自己組織化可能であり、ブロックコポリマの分子量は、形成されるマイクロドメインのサイズを定める。自己組織化ブロックコポリマモフォロジーのドメインサイズまたはピッチ周期(L0)は、パターン化構造の臨界寸法を決める基礎として使用され得る。同様に、
ブロックコポリマの一つの高分子ブロックの選択的エッチング後に残留する、特徴物の寸法である構造周期(Ls)を、パターン化構造の臨界寸法を決める基礎として使用してもよい。
ブロックコポリマを構成する各高分子ブロックの全長は、これらのブロックコポリマの高分子ブロックにより形成されるドメインのサイズに対する固有の限界であってもよい。例えば、高分子ブロックの各々は、ドメインの所望のパターンへの自己組織化が容易となる全長を有するように、また短いおよび/または長いコポリマでは、所望の自己組織化が生じないように、選定されてもよい。
本願において、「アニール処理」または「アニール」と言う用語は、ブロックコポリマの2または3以上の異なる高分子ブロック成分の間で、十分なマイクロ相分離が可能となり、高分子ブロックから形成された構造ユニットの繰り返しにより定められた規則的なパターンが形成されるような、ブロックコポリマの処理を意味し含む。本発明のブロックコポリマのアニール処理は、従来の各種方法により実施されてもよく、これには、これに限られるものではないが、熱処理(真空、または窒素もしくはアルゴンのような不活性雰囲気において)、溶媒気化アシストアニール処理(室温以上の温度)、または超臨界流体支援アニール処理が含まれる。特定の例では、ブロックコポリマの熱アニール処理は、ガラス転移温度(Tg)を超える上昇温度であって、ブロックコポリマの熱劣化温度(Td)未満および規則-不規則温度(ODT)未満の温度に、ブロックコポリマを暴露することにより、実施されてもよい。このODTを超えると、ブロックコポリマに、もはや相分離が生じなくなる。本願に記載されていない、他の従来のアニール方法も利用可能である。
ブロックコポリマの自己組織化機能を使用して、マスクパターンを形成してもよい。ブロックコポリマは、2または3以上の化学的に異なるブロックで形成される。例えば、各ブロックは、異なるモノマで形成されてもよい。ブロックは、非混和性であり、または熱力学的に非両立性であり、例えば、あるブロックは、極性を有し、他のブロックは、非極性である。熱力学的な影響により、コポリマは、溶液中で自己組織化して、系のエネルギーを全体として最小化する。通常、これは、コポリマが相互に対して移動することにより生じ、例えばブロックが相互に凝集し、これにより、各ブロック種または化学種を含む交互領域が形成される。例えば、極性のあるブロック(例えば有機金属含有高分子)および非極性ブロック(例えば炭化水素高分子)のコポリマが形成されると、ブロックは分離し、非極性ブロックは、他の非極性ブロックと凝集し、極性ブロックは、他の極性ブロックと凝集する。外部力の活性印加なしで、特定の個々の分子の移動が誘導され、ブロックが移動して、パターンが形成されるため、ブロックコポリマは、自己組織化材料として記載されることが理解される。ただし、全体的な分子集団の移動速度を高めるため、熱が印加されてもよい。
高分子ブロック種の間の相互作用に加えて、ブロックコポリマの自己組織化は、ブロックコポリマが成膜される水平表面から垂直に延在するステップまたはガイドのような、トポグラフィの特徴に影響される。例えば、ジブロックコポリマ、2つの異なる高分子ブロック種から形成されたコポリマは、交互のドメインまたは領域を形成し、これらの各々は、実質的に異なる高分子ブロック種から形成される。高分子ブロック種の自己組織化は、ステップまたはガイドの垂直壁同士の間の領域において生じる。ステップまたはガイドは、高分子ブロックと相互作用し、例えば、ブロックにより形成された交互領域の各々は、壁および水平表面に対して略平行に配向された特徴物を有する、規則的に離間配置されたパターンを形成する。
そのような自己組織化は、半導体製造プロセスの間、特徴物をパターン化するマスクの形成に有益である。例えば、交互ドメインの一方が除去されると、これにより、他の領域を形成する材料が残留し、マスクとして機能するようになる。マスクを使用して、下地の半導体基板における電気的装置のような、特徴物をパターン化してもよい。コポリママスクを形成する方法は、米国特許第7,579,278号および7,723,009号に記載されている。これらの文献は、本願の参照として取り入れられる。
本発明の実施例では、誘導自己組織化ブロックコポリマは、第1の高分子ブロックおよび第2の高分子ブロックを有するブロックコポリマであり、第1の高分子ブロックは、第1組のエッチング条件の下、第2のブロックコポリマを超える、2を超える固有のエッチング選択性を有する。ある実施例では、第1の高分子ブロックは、第1の有機高分子を有し、第2の高分子ブロックは、第2の有機高分子を有する。別の実施例では、第1の高分子ブロックは、有機高分子であり、第2の高分子ブロックは、有機金属含有高分子である。本願において、有機金属含有高分子は、無機材料を含有する高分子を含む。例えば、無機材料には、これに限られるものではないが、シリコン、および/または鉄のような遷移金属などのメタロイドが含まれる。
各ブロックコポリマの全サイズ、および構成ブロックとモノマの比は、自己組織化が容易となり、所望の寸法および周期を有する組織化されたブロックドメインが形成されるように選定されることが理解される。例えば、ブロックコポリマは、固有の高分子長スケール、ブロックドメインのサイズを支配する、任意のキンクまたはコイルを含む膜内のコポリマの平均端部間長さを有することは明らかである。長いコポリマを有するコポリマ溶液を使用して、大きなドメインを形成し、短いコポリマを含むコポリマ溶液を使用して、小さなドメインを形成してもよい。
また、ブロックコポリマにより形成される、自己組織化マイクロドメインの種類は、第2のブロック成分に対する第1のブロック成分の体積割合から、容易に定められる。
例えば、第2のブロック成分に対する第1のブロック成分の体積比が約80:20を超える場合、または約20:80を下回る場合、ブロックコポリマは、第1の高分子ブロック成分からなるマトリクス内に、第2の高分子ブロック成分からなる球状の規則的な配列を形成する。反対に、第2のブロック成分に対する第1のブロック成分の体積比が約20:80未満の場合、ブロックコポリマは、第2の高分子ブロック成分からなるマトリクス内に、第1の高分子ブロック成分からなる球状の規則配列を形成する。
第2のブロック成分に対する第1のブロック成分の体積比が約80:20未満であり、約65:35以上の場合、ブロックコポリマは、第1の高分子ブロック成分からなるマトリクス内に、第2の高分子ブロック成分からなる円柱状の規則配列を形成する。反対に、第2のブロック成分に対する第1のブロック成分の体積比が約35:65未満であって、約20:80超の場合、ブロックコポリマは、第2の高分子ブロック成分からなるマトリクス内に、第1の高分子ブロック成分からなる円柱状の規則配列を形成する。
第2のブロック成分に対する第1のブロック成分の体積比が約65:35未満であり、約35:65超の場合、ブロックコポリマは、第1および第2の高分子ブロック成分からなる交互ラメラを形成する。
従って、所望の自己組織化周期パターンを形成するために、ブロックコポリマにおいて、第2のブロック成分に対する第1のブロック成分の体積比を、容易に調整することができる。本発明の実施例では、第2のブロック成分に対する第1のブロック成分の体積比は、約65:35未満、約35:65以上であり、これにより、第1の高分子ブロック成分および第2の高分子ブロック成分の交互層からなるラメラドメインの規則配列が得られる。
ブロックコポリマは、例えば、これに限られるものではないが、ポリ(9,9-bis(6'-N,N,N-トリメチルアンモニウム)-ヘキシル)-フルオレンフェニレン)(PFP)、ポリ(4-ビニルピロリジン)(4PVP)、ヒドロキシプロピルメチルセルロース(HPMC)、ポリエチレングリコール(PEG)、ポリ(エチレン酸化物)-co-ポリ(プロピレン酸化物)ジ-またはマルチブロックコポリマ、ポリ(ビニルアルコール)(PVA)、ポリ(エチレン-co-ビニルアルコール)(PEVA)、ポリ(アクリル酸)(PAA)、ポリ乳酸(PLA)、ポリ(エチルオキサゾリン)、ポリ(アルキルアクリレート)、ポリアクリルアミド、ポリ(N-アルキルアクリルアミド)、ポリ(N,N-ジアルキルアクリルアミド)、ポリ(プロピレングリコール)(PPG)、ポリ(プロピレン酸化物)(PPO)、部分または完全加水分解化ポリ(ビニルアルコール)、デキストラン、ポリスチレン(PS)、ポリエチレン(PE)、ポリプロピレン(PP)、ポリイソプレン(PI)、ポリクロロプレン(CR)、ポリビニルエーテル(PVE)、ポリ(ビニルアセテート)(PVAc)、ポリ(塩化ビニル)(PVC)、ポリウレタン(PU)、ポリアクリレート、オリゴ糖、または多糖類を含む、有機高分子ブロックで構成されてもよい。
ブロックコポリマは、例えば、有機金属含有高分子ブロックで構成されてもよく、これには、これに限られるものではないが、ポリジメチルシロキサン(PDMS)のようなシリコン含有高分子、多面体オリゴマーシルセスキオキサン(POSS)、またはポリ(トリメチルシリルスチレン)(PTMSS)、またはポリ(フェロセニルジメチルシラン)(PFS)のようなシリコン-および鉄-含有高分子が含まれる。
一例としてのブロックコポリマは、これに限られるものではないが、ジブロックコポリマ、例えばポリスチレン-b-ポリジメチルシロキサン(PS-PDMS)、ポリ(2-ビニルピリジン)-b-ポリジメチルシロキサン(P2VP-PDMS)、ポリスチレン-b-ポリ(フェロセニルジメチルシラン)(PS-PFS)、またはポリスチレン-b-ポリ-DL-乳酸(PS-PLA)、またはトリブロックコポリマ、例えばポリスチレン-b-ポリ(フェロセニルジメチルシラン)-b-ポリ(2-ビニルピリジン)(PS-PFS-P2VP)、ポリイソプレン-b-ポリスチレン-b-ポリ(フェロセニルジメチルシラン)(PI-PS-PFS)、またはポリスチレン-b-ポリ(トリメチルシリルスチレン)-b-ポロスチレン(PS-PTMSS-PS)が含まれる。ある実施例では、PS-PTMSS-PSブロックコポリマは、ポリ(トリメチルシリルスチレン)高分子ブロックを有し、これは、4つのスチレンユニットを有するリンク剤により接続された、PTMSSの2つの鎖で構成される。米国特許出願公開第2012/0046415号に記載されているような、ブロックコポリマの修飾も想定される。この文献は、本願の参照として取り入れられる。
ある特定の実施例では、自己組織化周期パターンの形成に使用されるブロックコポリマは、PS-PMMAブロックコポリマである。そのようなPS-PMMAブロックコポリマにおける、ポリスチレン(PS)ブロック、およびポリメチルメタクリレート(PMMA)ブロックは、各々、約10kg/molから約100kg/molの範囲の大きな平均分子量を有し、通常、約20kg/molから約50kg/molの大きな平均分子量を有する。また、PMMAの体積割合(fPMMA)は、約35%から約65%の範囲である。ある実施例では、44 kg/molの分子量を有し、50vol%PMMAを有するPS-PMMAブロックコポリマは、12.5nmの構造周期(Ls)および25nmのピッチ(L0)を有するラメラ特徴物を提供する。
また、本発明の実施例では、ブロック高分子のみまたはフォトリソグラフィ法のみによって形成されるものよりも小さな特徴物の形成が可能である。本発明の実施例では、異なる化学種で形成された自己組織化材料が組織化され、同様の化学種からなるドメインが形成される。これらのドメインの部分は、選択的に除去され、一時的な代替物および/またはマスク特徴物が形成される。次に、自己組織化材料により形成された一時的な代替物および/またはマスク特徴物を用いて、ピッチ増殖プロセスが行われる。一時的な代替物から、一時的な代替物のピッチよりも小さなピッチを有する特徴物が得られる。
しかしながら、従来技術の問題を克服し、ケミカル-エピタキシー予備パターンのトポロジーを最小限に抑制するため、示された方法は、処理ステップの新たな組み合わせを利用して、DSAに使用される予備パターン化基板を調製する。この方法では、DSAステップの信頼性が改善され、これにより処理の欠陥が最小限に抑制される。
従って、図4を参照すると、本発明の実施例では、層状化基板をパターン化する方法400が提供される。方法400は、コータ-現像処理システムに、基板をロードするステップ(410)と;フォトレジスト材料でコーティングして、基板の上にフォトレジスト材料層を形成するステップ(420)と;フォトレジスト材料層をパターン化して、基板上にフォトレジストパターンを形成するステップ(430)と;基板を成膜処理システムに移送するステップ(440)と;フォトレジストパターンおよび基板の露出部の上に、中立層を成膜するステップ(450)とを有する。以下に詳細に示すように、中立層は、1)気相クラスタイオンビーム(GCIB)プロセス、または2)原子層成膜(ALD)プロセスを用いて成膜される。
図3A〜3Gおよびステップ410を参照すると、基板301は、コータ/現像機のCLEANTRACK(登録商標)ファミリーからのユニットの一つのような、コータ-現像処理システムにロードされる。ここでは、フォトレジストのような感光材料が設置され、像化され、現像される。基板301は、例えば、単結晶シリコン、ゲルマニウム、および他の任意の半導体のような、半導体を有してもよい。代替実施例では、基板301は、集積回路、パッシブ小型電子装置(例えばキャパシタ、インダクタ)、およびアクティブ小型電子装置(例えばトランジスタ、光検出器、レーザ、ダイオード)を製造するために使用される、いかなる材料を有してもよい。基板301は、絶縁材料を含んでもよく、この絶縁材料は、そのようなアクティブ小型電子装置を、それらの上部に配置された1または2以上の導電層から分離する。ある実施例では、基板301は、p型の単結晶シリコン基板を有し、これは、二酸化ケイ素、窒化ケイ素、サファイヤ、および他の絶縁材料のような、1または2以上の絶縁層を有する。
基板301は、ベース層の上に配置された、1もしくは2以上の薄膜または層302を有する膜スタックを有してもよい。ある実施例では、層302は、有機高分子系抗反射コーティング(ARC)のような、有機層であってもよい。別の実施例では、層302は、シリコンARCのような、無機系の層であってもよい。別の実施例では、層302を形成する際に利用される材料は、一つのドメイン種(例えばA-Bジブロックコポリマ組立体のAドメイン)の化学的親和性に基づいて選定されてもよい。
フォトレジスト材料の溶液が基板上にスピンコートまたはスプレーコートされ、その後、熱処理により、成形溶媒が除去され、層状化基板300の上に、フォトレジスト材料層が形成される。図3Aおよびステップ430に示すように、放射線306でフォトレジスト材料層をパターン化することにより、基板(230)の上に、露光領域304および非露光領域305を有するパターン化フォトレジスト層303が形成される。レジストの性質に応じて、その後の現像ステップがネガトーンまたはポジトーンとなり、ネガトーンでは、未露光領域305が除去され、ポジトーンでは、露光領域304が除去される。図3Bに示された実施例では、ポジトーンの現像が行われ、露光領域304が除去され、これにより開口307およびレジストレイン305が提供される。さらに、レジストライン305を有するフォトレジスト材料の化学組成に応じて、中立層の成膜前に、予備パターン化基板をさらに処理することも想定される。例えば、予備パターン化基板のパターン化されたフォトレジスト層は、トリミングされてもよい。一般にスリム化とも称される、トリミングの方法の一例は、米国特許第8338086号および8435728号に記載されており、これらの特許出願の全内容は、本願の参照として取り入れられる。
本発明の実施例では、その後、以下に詳しく示すリフトオフステップにおいて除去されるレジストライン305は、ある好ましい特性を有してもよい。例えば、レジストライン305は、中立層成膜プロセスと両立できてもよい。しかしながら、中立層成膜プロセスにおけるフォトレジスト材料の両立性は、フォトレジスト材料の性状、処理条件、および成膜プロセスに依存し、すなわちこれがGCIBかALDプロセスかに依存する。従って、パターン化の後、フォトレジストパターンの硬化処理により、後続の中立層の成膜の間、フォトレジストパターンが劣化耐性を有するようにしてもよい。
考慮すべきレジストライン305の別の特性は、レジストラインが、後続のリフトオフプロセスにおいて使用される処理液体中に溶解可能であり得ることである。例えば、フォトレジスト材料が、保護された高分子および光酸発生器を有するポジトーンフォトレジストである場合、流体暴露ステップおよびその後の熱処理ステップでは、レジストラインは、水酸化テトラメチルアンモニウム(TMAH)溶液のような、水系の溶液に溶解性である。従って、図3B〜3Cに示す実施例では、流体暴露およびポスト暴露熱処理により、保護されたフォトレジスト高分子を有するレジストライン305は、未保護フォトレジスト高分子を有するレジストライン308に変換され、中立層の設置が容易で、後続のリフトオフプロセスの間、処理液体中での溶解が容易な、予備パターン化基板が提供される。別の例では、フォトレジスト材料は、有機溶媒で現像されたネガトーンフォトレジストであり、レジストライン305は、適当な極性を有し、後続の中立層コーティング用の成形溶媒では溶解されず、リフトオフプロセスに使用される処理液体中に溶解する。従って、流体暴露および熱処理ステップは、必ずしも必要ではない。
従って、フォトレジストがポジトーンである実施例では、パターン化されたポジトーンフォトレジスト層を有する層状化基板が、中立層の成膜の前に処理条件に暴露され、この条件は、フォトレジスト材料の極性を変えるのに十分であり、これがリフトオフ処理液に溶解するようになり、あるいはより多く溶解するようになる。プロセスの一例には、これに限られるものではないが、暴露およびポスト暴露ベーク、洗浄およびポスト酸洗浄ベーク、または熱劣化が生じるのに十分な温度でのフォトレジスト材料の加熱処理が含まれる。フォトレジストがネガトーンレジストの場合、補足的な実施例では、中立層を成膜する前の、追加の処理が省略されてもよい。
A ガスクラスタイオンビーム処理装置
図3Cに示す予備パターン化された基板は、成膜処理システムに移送される。ある実施例では、成膜処理システムは、GCIB処理システムである。適当なGCIB処理システムの例は、例えば、これに限られるものではないが、米国特許第8097860号、第7794798号、および米国特許出願公開第2010/0193701号に記載されている。これらの特許文献の全内容は、本願の参照として取り入れられる。GCIBの基本的な作動原理は、表面が高エネルギーのナノスケールのクラスターイオンビームで衝突された際に、コーティングが形成されるることである。高圧ガス(約10気圧)が、適当な形状のノズルを介して、実質的に低圧の真空下で膨脹した際に、クラスタが形成される。断熱的なガス膨脹、およびその後の冷却により、イオンクラスタが凝集される。
クラスタは、ナノサイズの小さな結晶物であり、原子物理の領域と固体物理学の領域の間の独特な特性を有する。膨脹は、ノズルの内部で生じ、ガス流が形成され、クラスタのジェットの形成が容易になる。ジェットクラスタは、異なるポンプ開口を通過し、高真空領域(1×10-8atm)に入り、ここでクラスタは、エネルギー電子との衝突によりイオン化される。イオン化されたクラスタは、極めて速い速度に静電的に加速され、小さなビームに収束される。
ノズル数、層状化基板のノズルに対する相対配置などのような各種作動パラメータに応じて、GCIB成膜は、予備パターン化基板のトポグラフィを均一に、または不均一にコーティングするような方法で行われる。例えば、図3Dに示すように、均一に設置された中立層312が形成され、レジストライン308の垂直表面308aにも、中立材料がコーティングされる。図3Eに示す別の実施例では、中立層は、水平表面に選択的に設置され、開口307に中立層314aが形成され、レジストライン308の水平表面308bに中立層314bが形成される。従って、レジストライン308の垂直表面308aは、未コーティングのまま残留する。別の実施例では、中立層材料のレジストライン308に対するプール部は、実質的に最小化され、または排除される。
従って、この実施例では、中立層は、第1の混合ガスで形成された、ガスクラスタイオンのGCIB成膜を用いて成膜され、第1の混合ガスは、シリコン含有ガス、炭素含有ガス、酸素含有ガス、水素含有ガス、またはこれらの組み合わせを含んでもよい。前述のように、中立層は、後続のDSAをパターン化するステップにおけるBCPの1または2以上のブロックに向かう、その化学的特性に基づいて選択される。従って、第1の混合ガスは、中立層の化学的特性を設定するように調整される。
シリコン含有膜を製造する場合、シリコン含有化学種は、シラン(SiH4)、ジシラン(Si2H6)、ジクロロシラン(SiH2Cl2)、トリクロロシラン(SiCl3H)、ジエチルシラン(C4H12Si)、トリメチルシラン(C3H10Si)、シリコンテトラクロライド(SiCl4)、シリコンテトラフルオライド(SiF4)、またはこの2または3以上の組み合わせを含む。
シリコン含有膜を製造する場合、加圧混合ガスの他の化学種は、炭素含有材料、酸素含有材料、水素含有材料、またはこれらの2または3以上の組み合わせを含む。例えば、加圧混合ガスは、さらに、N2、NH3、NF3、NO、N2O、NO2、化学式CxHyを有する炭化水素ガスであって、ここでxおよびyは1以上の整数である炭化水素ガス、化学式CxFyを有するフッ化炭素ガスであって、ここでxおよびyは1以上の整数であるフッ化炭素ガス、化学式CxHyFzを有するハイドロフルオロカーボンガスであって、ここでx、yおよびzは1以上の整数であるハイドロフルオロカーボンガス、H2、O2、CO、CO2、もしくは希ガス、またはこれらの2または3以上の組み合わせを含む。
ある実施例では、GCIBにシラン(SiH4)を導入することにより、Siを含む膜が成膜される。
別の例では、シリコンテトラフルオライド(SiF4)およびO2のような酸素含有ガスのGCIBへの導入により、SiおよびO含有膜が成膜される。
別の例では、シラン(SiH4)、およびメタン(CH4)のような炭化水素ガス(CxHy)のGCIBへの導入により、SiおよびC含有膜が成膜される。あるいは、ジエチルシランまたはトリメチルシランのGCIBへの導入が利用される。
別の例では、シラン(SiH4)、およびメタン(CH4)のような炭化水素ガス(CxHy)のGCIBへの導入により、Si、H、およびC含有膜が成膜される。あるいは、ジエチルシランまたはトリメチルシランのGCIBへの導入が利用される。
別の例では、シリコンテトラフルオライド(SiF4)、O2のような酸素含有ガス、およびメタン(CH4)のGCIBへの導入により、Si、O、およびC含有膜が成膜される。あるいは、他の炭素含有ガスは、COおよびCO2を含んでもよい。
例えば、有機ラメラブロックコポリマ(例えばポリスチレン-b-ポリ(メチルメタクリレート)、またはPS-b-PMMA)の場合、C、H、およびOの各種比で膜を成膜することにより、中立層の疎水性を変化させることができる。例えば、中立層膜における酸素含有量の増加により、中立層の親水性が高められる。反対に、低酸素含有量により、より疎水性の中立層が製造される。シリコン含有高χ材料の場合、各種割合の酸化ケイ素、窒化ケイ素、酸窒化ケイ素、および炭化物の膜は、表面エネルギーの範囲を網羅する。
ノズルの数、層状化基板のノズルに対する相対配置など、各種作動パラメータに応じて、GCIB成膜は、予備パターン化基板のトポグラフィを均一にまたは不均一にコーティングするように適用される。例えば、図3Dでは、均一に設置された中立層312が形成され、レジストライン308の垂直表面308aも、中立材料でコーティングされている。図3Eに示す代替実施例では、中立層は、水平表面に選択的に設置され、開口307内には中立層314aが形成され、レジストライン308の水平表面308bには中立層314bが形成される。従って、レジストライン308の垂直表面308aは、未コーティングのまま残る。ある実施例では、レジストライン308に対する中立層材料のプール化は、実質的に最小化され、または排除される。
基板は、基板ホルダ上に配置され、基板ホルダで確実に保持される。基板の温度は、制御されてもされなくてもよい。例えば、基板は、膜形成プロセスの間、加熱されても冷却されてもよい。基板を取り巻く環境は、減圧下に維持され、GCIBは、1または2以上の膜形成化学種を含む加圧混合ガスから形成される。前述のように、加圧混合ガスは、減圧環境において膨脹し、ガスクラスタを形成し、ガスクラスタがイオン化され、イオン化されたガスクラスタが加速され、必要な場合フィルタ処理される。
ある実施例では、GCIBを用いて、基板の選択された表面にのみ、材料が選択的に成膜される。例えば、GCIBは、GCIBの入射の方向に対して基板を配向させることにより提供され、材料の成膜は、1または2以上の表面で実施され、これらの表面は、実質的に入射GCIBに対して垂直である。入射GCIBに対して実質的に平行な1または2以上の表面における材料の成膜は、実質的に回避されまたは抑制される。従って、ある実施例では、中立層は、ガスクラスタイオンのGCIB成膜を用いて非等方的に成膜される。
従って、その後、GCIBの入射の方向に対する基板の配向を調整することにより、または傾斜したGCIBノズルの配列を利用することにより、その後の入射GCIBに対して実質的に垂直に配向された他の表面における成膜の遂行が可能となる。また、入射GCIBに対して実質的に垂直な1または2以上の表面に、ある層から隣接層まで、異なる特性を有する連続的な材料膜を指向的に成膜するため、ビーム組成を含む、GCIBの1または2以上の他の特性が調整され、変更されてもよい。
B 原子層成膜処理装置
本発明の別の実施例では、予備パターン化基板は、原子層成膜(ALD)処理システムに移送される。例えば、好適なALD処理システムの非限定的な例は、米国特許出願公開第2007/0037412号、第2007/0237697号、第2005/0056219号に示されている。これらの特許文献は、本願の参照として取り入れられている。
ALDは、自己制限的(各反応サイクルで成膜される膜材料の量は、一定である)、逐次的な表面化学現象であり、各種組成の基板に、材料の共形の薄膜が成膜される。自己制限的な表面反応の特徴のため、ALD膜の成長には、原子スケールの成膜制御が可能となる。ALDは、化学現象的に化学気相成膜(CVD)法に似ているが、ある実施例では、ALD反応は、CVD反応を2つの半反応に分解し、反応中、前駆体材料の分離を維持する点が異なっている。コーティングプロセスにわたって、前駆体の分離を維持することにより、単位サイクル当たり約0.1オングストロームの微細な、膜成長の原子層制御が可能となる。前駆体の分離は、各前駆体パルス後のパージガス(通常窒素またはアルゴンを含む)のパルス処理により行われ、これにより処理チャンバから余分な前駆体が除去され、基板上での寄生的なまたは意図しないCVD成膜が防止される。ALDは、通常、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、および炭化物膜のような薄膜の成膜に使用される。しかしながら、このプロセスは、具体的にこれに限定されるものではない。また、ALD成膜プロセスは、バッチプロセスとして実施されても、単一のウェハプロセス内で実施されてもよい。
従って、この実施例では、中立層は、ALD成膜法を用いて成膜され、第1の前駆体ガスは、シリコン含有ガス、炭素含有ガス、酸素含有ガス、水素含有ガス、またはこれらの組み合わせを含んでもよい。反応チャンバは、パージされ、または真空引きされ、未反応の第1の前駆体ガスが除去されてから、基板は、第2の前駆体ガスに暴露され、その後再度、反応チャンバがパージまたは真空引きされる。従って、ALDプロセスでは、予備パターン化されたフォトレジスト層の上に、中立層を非等方的(異方的)に成膜することができる。
シリコン含有ガスガスまたはシリコン前駆体は、シラン、アルキルシラン、アルキルアミノシラン、およびアルコキシシランを含む。例えば、シリコン前駆体は、これに限られるものではないが、(Me2N)4Si、(Me2N)3SiH、(Me2N)2SiH2、(Me2N)SiH3、(Et2N)4Si, 、(Et2N)3SiH、(MeEtN)4Si、(MeEtN)3SiH、Si(NCO)4、MeSi(NCO)3、SiH4、Si2H6、SiCl4、Si2Cl6、MeSiCl3、HSiCl3、Me2SiCl2、H2SiCl2、MeSiH3、Me2SiH2、EtSiH3、Et2SiH2、MeSi(OH)3、Me2Si(OH)2、(EtO)4Si、これらの誘導体、およびこれらの組み合わせを含む。他のアルコキシシランは、(RO)4-nSiLnの一般化学式で表わされ、ここでn=0〜3であり、R=メチル、エチル、プロピル、またはブチルであり、L=H、OH、F、Cl、Br、またはI、およびこれらの組み合わせである。シリコン前駆体として有益な他のアルキルシラン化合物は、R4-nSiHnであり、ここでRは、独立に、メチル、エチル、プロピル、ブチル、または他のアルキルであり、n=0〜3である。シリコン前駆体として有益な他のアルキルアミノシラン化合物は、(RR’N)4-nSiHnを含み、ここでRまたはR’は、独立に、水素、メチル、エチル、プロピル、またはブチルであり、n=0〜3である。また、本発明のある実施例内で、シリコン前駆体として、より高次のシランを使用してもよい。高次のシランは、米国特許出願公開第2004/0224089号に示されており、これは、シリコン前駆体の記載を目的として、本願の参照として取り入れられる。ある実施例では、シリコン前駆体は、(Me2N)3SiH、(Et2N)3SiH、(Me2N)4Si、(Et2N)4Si、および(MeEtN)4Siを含む。必要な場合、シリコン含有ガスには、Arのような不活性ガスが含まれてもよい。
炭素含有ガスまたは炭素前駆体は、これに限られるものではないが、CO、CO2、H2CO、CxHy、CxFy、CxHyNz、またはこれらの組み合わせを含む。ここで、x、y、およびzは、整数である。必要な場合、Arのような不活性ガスが含まれてもよい。
酸素含有ガスまたは酸素前駆体は、これに限られるものではないが、O2、H2O、H2O2、NO、NO2、N2O、オゾン、プラズマ励起酸素、またはそれらの組み合わせを含み、必要な場合、Arのような不活性ガスが含まれてもよい。
中立層の膜に酸素と炭素を導入するため、少なくとも一つの酸素含有ガスパルス、および少なくとも一つの炭素含有ガスパルスの組み合わせを利用してもよい。当然のことながら、CO、CO2、またはH2COを含むガスは、酸素と炭素の両方を含む。
ある実施例では、中立層成膜用のALD成膜プロセスフローは、第1のガス前駆体のパルス、パージガスのパルス、第2のガス前駆体のパルス、パージガスのパルス、第3のガス前駆体のパルス、パージガスのパルスなどの逐次的なおよび交互の暴露を含む成膜サイクルを有し、このサイクルが繰り返されてもよい。本発明の別の実施例では、所望の膜成長および膜組成を得るため、成膜サイクルの第1、第2、第3などのガス前駆体の逐次的なおよび交互の暴露の順番は、反転され、または異なる順番もしくは周期に変更される。さらに、本発明の実施例では、前駆体ガスに対する逐次的な暴露の各々は、所定の回数だけ、独立に繰り返されれもよい。他の成膜サイクルの幅広い変更が可能であることは、当業者には容易に理解できる。例えば、2種類のガス前駆体だけが使用される場合、一例としての成膜サイクルは、例えば、ABBABB、AABAAB、ABBB、AAAB、AABB、AAABB等を含んでもよい。別の例では、3種類のガス前駆体が使用される場合、一例としての成膜サイクルは、これに限られるものではないが、ABCABCABC、AABBCC、ABABCBCBACAC等を含んでもよい。しかしながら、本発明の実施例は、これらの成膜サイクルに限られるものではなく、A、B、Cの他の組み合わせも利用できる。これらの異なる成膜サイクルを使用して、Si、C、O、および/またはHの異なる量および異なる深さプロファイルを有する中立層膜を成膜することが可能となる。
前述のように、中立層は、後続のDSAパターン化ステップにおけるBCPの1または2以上のブロックに対するその化学的特性に基づいて選択される。従って、第1の前駆体は、中立層の化学的特性が設定されるように調整される。しかしながら、ALD成膜法は、原理的に、2つの相補的な反応前駆体の交互反応層の共形の適用であり、ALD法は、図3Dに示した実施例に特に適する。ここでは、均一に設置された中立層312が形成され、レジストライン308の垂直表面308aにも、中立材料がコーティングされる。従って、ALDプロセス成膜法は、レジストライン308に対する中立層材料のプール化を実質的に抑制し、排除する。従って、中立層のスピンコーティングにより生じるトポグラフィーのばらつきは、回避され、これにより、後続の自己組織化プロセスが改善される。
図5に示すように、さらに、層状化基板をパターン化する方法は、フォトレジストパターンの上に成膜された中立層の一部をリフトオフして、その後の誘導自己組織化(DSA)パターン化処理用の中立層テンプレートを露出させるステップ(510);中立層テンプレートの上に、DSA材料層を成膜するステップ(520);DSA材料層を熱処理し、DSAパターンを形成するステップ(530);およびDSA材料層を現像して、その後の特徴物のエッチング用の最終DSAパターンを露出させるステップ(540)、を有する。
ステップ510では、中立層の一部は、水酸化テトラメチルアンモニウム(TMAH)現像液を用いて、または未公開の「誘導自己組織化化学-エピタキシ用途における有機膜を除去するトラック処理」という名称の、本願と同日に出願された特許出願に記載された方法により、除去される。
ステップ520では、各種方法により、BCPを含むDSA材料が成膜され、これには、例えば、スピンオンコーティング法、スピンキャスト法、刷毛塗りコーティング法、または気相成膜法が含まれる。例えば、ブロックコポリマは、有機溶媒、例えばトルエンのようなキャリア溶媒内の溶液として提供される。図3Fまたは3Gに示すように、ブロックコポリマの溶液は、層状化基板に設置され、その後、キャリア溶媒が除去される。
本発明は、理論によって拘束されるものではないが、材料の相分離と同様のプロセスにおける熱力学的考察により、異なるブロック化学種が自己凝集することが理解されることは明らかである。自己組織化は、それぞれ、図3Fまたは3Gにおける露出領域317または319により誘導される。前述のように、BCPのブロックの一つは、露出下地層302に対して化学的親和性を有してもよく、これにより、自己組織化されたBCPのドメインの一つが誘導され、この開口317または319にピン留めされる。図には示されていないが、開口317、319の臨界寸法、および残りの中立層部分316、317の臨界寸法は、自己組織化BCPの適切な位置合わせのため、およびピッチの増幅化のため重要である。
ステップ530を参照すると、ブロックコポリマの膜は、アニール(熱処理)条件に晒され、ブロックコポリマの自己組織化が容易となる。アニール処理の温度は、ブロックコポリマまたは層状化基板300が悪影響を受けることを防止するため、十分に低く選定される。アニールは、約350℃未満の温度で行われてもよく、ある実施例では、約300℃未満、約250℃未満、約200℃未満、または約180℃未満である。
別の実施例では、アニール処理は、通常アニール温度を低下させる、溶媒アニール処理を含む。アニール処理を利用して、コポリマの架橋を生じさせてもよく、これにより後のエッチングおよびパターン転写ステップ用に、コポリマが安定化される。
ある態様では、ブロックコポリマの有機高分子ブロックの酸化または燃焼が生じないように、より熱処理時間を短くするため、アニール処理は、約250℃を超えるアニール温度において、約1時間未満のアニール時間で、低酸素雰囲気下で行われても良い。本願において、低酸素雰囲気は、約50ppm未満の酸素を含む。例えば、低酸素雰囲気は、約45ppm未満、約40ppm未満、約35ppm未満、約30ppm未満、約25ppm未満、約20ppm未満、またはこれらの間の範囲を含んでもよい。
アニール時間は、約数時間から約1分の範囲であってもよい。例えば、温度が250℃を超える場合、アニール時間は、約1時間から約2分、約30分から約2分、または約5分から約2分の範囲である。
ある実施例では、アニール温度は、約220℃から約350℃の範囲であってもよい。ここで低酸素雰囲気は、約50ppm未満の酸素を含む。例えば、ブロックコポリマの膜は、約40ppm未満の酸素の310℃のアニール条件に、約2分から約5分間、晒されてもよい。
ステップ540を参照すると、ブロックコポリマの膜のアニールステップの完了の後、異なるドメインを有する自己組織化ブロック高分子の層が形成される。ドメインの一つが、単一のエッチング化学種を用いて単一のステップで、選択的に除去され得ること、または異なるエッチング化学種を用いた複数のエッチングにより、除去され得ることは、明らかである。これにより、残りのドメインで定められたパターンが提供される。例えば、ポリスチレン(PS)-b- ポリメチルメタクリレート(PMMA)の自己組織化ブロックコポリマでは、PMMAドメインが選択性酸素プラズマエッチングにより除去され、PSラインを有するパターン化された基板が後に残る。
最終DSA誘導パターンは、下地基板301に転写されてもよい。パターンの転写は、残留する未エッチングのドメイン特徴物に対して、基板301の1または2以上の材料を選択的にエッチングすることに適したエッチング化学種を用いて行われる。
示された実施例の各種変更が可能であることは、明らかである。例えば、把握および検討を容易にするためブロックコポリマの内容に関して示したが、コポリマは、2または3以上のブロック化学種で形成されてもよい。また、実施例に示されたブロック化学種は、各々異なるモノマで形成されるが、ブロック化学種は、モノマを共有してもよい。例えば、ブロック化学種は、モノマの異なる組で形成され、このいくつかは、同じであってもよく、あるいはブロック化学種は、同じモノマで形成されるが、各ブロックにおいて異なる分布を示してもよい。モノマの異なる組は、異なる特性を有するブロックを形成し、この特性がコポリマの自己組織化を駆動してもよい。
また、示された実施例は、集積回路の製作に適用され得るが、本発明の実施例は、極めて微細な特徴物を有するパターンの形成が望まれるような、他の各種用途に適用されてもよい。例えば、本発明の実施例は、格子、ディスクドライブ、ストレージ媒体、またはX線もしくはインプリントリソグラフィを含む、他のリソグラフィ技術用のテンプレートもしくはマスクの形成に適用されてもよい。例えば、位相シフト材料コーティングを有する膜スタックを有する基板をパターン化することにより、位相シフトフォトマスクが形成されてもよい。
1または2以上の実施例の記載により、本発明について説明したが、実施例は、詳細に記載されており、これらは、特許請求の範囲を、いかなる方法でそのような細部に限定すること、または制限することも意図するものではない。追加の利点および変更は、当業者には容易に把握される。従って、本発明は、広い態様に解され、特定の細部、代表的な機器および方法、ならびに示され記載された例に限定されるものではない。従って、本発明の一般的な概念の範囲から逸脱しない限り、そのような細部から逸脱してもよい。

Claims (17)

  1. 層状化基板をパターン化する方法であって、
    コータ-現像処理システムに、基板をロードするステップと、
    前記基板にフォトレジスト材料をコーティングして、前記基板にフォトレジスト材料層を形成するステップと、
    前記フォトレジスト材料層をパターン化して、前記基板にフォトレジストパターンを形成するステップと、
    前記基板を成膜処理システムに移送するステップと、
    前記フォトレジストパターンおよび前記基板の露出部に、中立層を成膜するステップと、
    を有する方法。
  2. 前記成膜処理システムは、ガスクラスタイオンビーム(GCIB)システムであり、
    前記中立層は、第1の混合ガスから形成されたガスクラスタイオンのGCIB成膜を用いて成膜される、請求項1に記載の方法。
  3. 前記中立層は、前記フォトレジストパターンおよび前記基板の露出部の上に、非等方的に成膜される、請求項2に記載の方法。
  4. 前記第1の混合ガスは、少なくとも一つのC含有ガスを含む、請求項2に記載の方法。
  5. 前記第1の混合ガスは、少なくとも一つのO含有ガスを含む、請求項2に記載の方法。
  6. 前記第1の混合ガスは、少なくとも一つのH含有ガスを含む、請求項2に記載の方法。
  7. 前記第1の混合ガスは、少なくとも一つのSi含有ガスを含む、請求項2に記載の方法。
  8. 前記第1の混合ガスは、後続の誘導自己組織化(DSA)パターン化処理のため、前記中立層の化学的特性を設定するように調整される、請求項2に記載の方法。
  9. 前記成膜処理システムは、原子層成膜(ALD)システムであり、
    前記中立層は、少なくとも一つの前駆体ガスを利用したALD成膜法を用いて成膜される、請求項1に記載の方法。
  10. 前記中立層は、前記フォトレジストパターンおよび前記基板の露出部の上に、非等方的に成膜される、請求項9に記載の方法。
  11. 前記少なくとも一つの前駆体ガスは、C含有ガスを含む、請求項8に記載の方法。
  12. 前記少なくとも一つの前駆体ガスは、H含有ガスを含む、請求項8に記載の方法。
  13. 前記少なくとも一つの前駆体ガスは、O含有ガスを含む、請求項8に記載の方法。
  14. 前記少なくとも一つの前駆体ガスは、Si含有ガスを含む、請求項8に記載の方法。
  15. さらに、
    前記フォトレジスト材料のパターン化に引き続き、前記フォトレジストパターンをトリミングするステップ、
    を有する、請求項1に記載の方法。
  16. さらに、
    前記フォトレジスト材料のパターン化に引き続き、前記フォトレジストパターンを硬化して、後続の前記中立層の成膜に対して耐性のある前記フォトレジストパターンを得るステップ、
    を有する、請求項1に記載の方法。
  17. さらに、
    前記フォトレジストパターンの上に成膜された前記中立層の一部をリフトオフして、後続の自己組織化(DSA)パターン化用の中立層テンプレートを露出させるステップと、
    前記中立層テンプレートの上に、DSA材料層を成膜するステップと、
    前記DSA材料層を熱処理して、DSAパターンを形成するステップと、
    前記DSA材料層を現像して、その後の特徴物のエッチングのため、最終DSAパターンを露出させるステップと
    を有する、請求項1に記載の方法。
JP2016502295A 2013-03-14 2014-03-14 誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化 Expired - Fee Related JP6139011B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361782518P 2013-03-14 2013-03-14
US61/782,518 2013-03-14
US14/208,130 US9147574B2 (en) 2013-03-14 2014-03-13 Topography minimization of neutral layer overcoats in directed self-assembly applications
US14/208,130 2014-03-13
PCT/US2014/026969 WO2014152116A1 (en) 2013-03-14 2014-03-14 Topography minimization of neutral layer overcoats in directed self-assembly applications

Publications (2)

Publication Number Publication Date
JP2016522979A true JP2016522979A (ja) 2016-08-04
JP6139011B2 JP6139011B2 (ja) 2017-05-31

Family

ID=51529012

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016502295A Expired - Fee Related JP6139011B2 (ja) 2013-03-14 2014-03-14 誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化

Country Status (5)

Country Link
US (1) US9147574B2 (ja)
JP (1) JP6139011B2 (ja)
KR (1) KR101691321B1 (ja)
TW (1) TWI560746B (ja)
WO (1) WO2014152116A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021525455A (ja) * 2018-06-01 2021-09-24 エーエスエム・アイピー・ホールディング・ベー・フェー 浸透性材料に浸透させる浸透装置および方法

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10825685B2 (en) * 2010-08-23 2020-11-03 Exogenesis Corporation Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
JP6027912B2 (ja) * 2013-02-22 2016-11-16 東京応化工業株式会社 相分離構造を含む構造体の製造方法、及びパターン形成方法、並びにトップコート材料
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
JP6234271B2 (ja) * 2014-02-25 2017-11-22 東京エレクトロン株式会社 被処理体を処理する方法
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9659768B2 (en) * 2014-12-23 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Focused radiation beam induced thin film deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9915866B2 (en) * 2015-11-16 2018-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focused radiation beam induced deposition
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) * 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
WO2018067671A1 (en) * 2016-10-04 2018-04-12 Brewer Science Inc. Chemically patterned guide layers for use in chemoepitaxy directing of block co-polymers
US9632408B1 (en) 2016-10-12 2017-04-25 International Business Machines Corporation Graphoepitaxy directed self assembly
US10510538B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing EUV-induced material property changes
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11174360B2 (en) 2016-11-30 2021-11-16 Lg Chem, Ltd. Laminate for patterned substrates
US20200013629A1 (en) 2016-12-15 2020-01-09 Asm Ip Holding B.V. Semiconductor processing apparatus
JP6896447B2 (ja) * 2017-02-14 2021-06-30 株式会社Screenホールディングス 基板処理方法
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10157740B1 (en) * 2017-06-15 2018-12-18 Applied Materials, Inc. Selective deposition process utilizing polymer structure deactivation process
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US20190062912A1 (en) * 2017-08-31 2019-02-28 Uchicago Argonne, Llc Atomic layer deposition for continuous, high-speed thin films
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
CN115989562A (zh) * 2020-08-26 2023-04-18 胜高股份有限公司 外延硅晶片及其制造方法以及半导体器件的制造方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1130711A (ja) * 1997-07-11 1999-02-02 Canon Inc 回折光学素子及びその製造方法及び光学機器
JP2002163998A (ja) * 1991-05-13 2002-06-07 Seiko Epson Corp 電子放出装置の駆動方法
JP2007172724A (ja) * 2005-12-21 2007-07-05 Victor Co Of Japan Ltd スタンパの製造方法
JP2010085977A (ja) * 2008-09-03 2010-04-15 Shin-Etsu Chemical Co Ltd パターン形成方法
JP2010531051A (ja) * 2007-06-04 2010-09-16 マイクロン テクノロジー, インク. 自己組織化材料を使用するピッチマルチプリケーション
JP2011515537A (ja) * 2008-03-21 2011-05-19 マイクロン テクノロジー, インク. 等しい優先性で両ブロックを湿潤にするために、制約を受ける上部界面を有するブロック共重合体膜の熱アニーリング
WO2011140200A1 (en) * 2010-05-05 2011-11-10 Tel Epion Inc. Gas cluster ion beam system with rapid gas switching apparatus
JP2012078830A (ja) * 2010-10-04 2012-04-19 Rohm & Haas Electronic Materials Llc 下層組成物および下層を像形成する方法
WO2012084558A1 (en) * 2010-12-23 2012-06-28 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5650261A (en) 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
US5344742A (en) 1993-04-21 1994-09-06 Shipley Company Inc. Benzyl-substituted photoactive compounds and photoresist compositions comprising same
US6960082B2 (en) 1999-12-24 2005-11-01 Ivoclar Vivadent Ag Atraumatic approximal space dilator
TW501181B (en) 2001-04-04 2002-09-01 Chartered Semiconductor Mfg Removal of organic anti-reflection coatings in integrated circuits
US6855476B2 (en) 2001-04-05 2005-02-15 Arch Specialty Chemicals, Inc. Photoacid generators for use in photoresist compositions
NZ513637A (en) 2001-08-20 2004-02-27 Canterprise Ltd Nanoscale electronic devices & fabrication methods
JP3892792B2 (ja) 2001-11-02 2007-03-14 大日本スクリーン製造株式会社 基板処理装置および基板洗浄装置
US6632960B2 (en) 2002-06-21 2003-10-14 Goldschmidt Ag Diaryliodonium salt catalysts made from iodotoluene and a method for preparing them
JP3993048B2 (ja) 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 基板処理装置
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7399577B2 (en) 2003-02-19 2008-07-15 Ciba Specialty Chemicals Corporation Halogenated oxime derivatives and the use thereof
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
WO2005097883A2 (en) 2004-03-26 2005-10-20 King Industries, Inc. Method of producing a crosslinked coating in the manufacture of integrated circuits
WO2005101468A1 (ja) 2004-04-13 2005-10-27 Tokyo Electron Limited リンス処理方法および現像処理方法
JP4343018B2 (ja) 2004-04-20 2009-10-14 東京エレクトロン株式会社 基板の処理方法及び基板の処理装置
CA2574054A1 (en) 2004-07-20 2006-01-26 Ciba Specialty Chemicals Holding Inc. Oxime derivatives and the use therof as latent acids
US20070184656A1 (en) * 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7354692B2 (en) 2005-05-09 2008-04-08 International Business Machines Corporation Photoresists for visible light imaging
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
CN101316713B (zh) 2005-12-02 2011-03-30 佳能株式会社 液体排出头的制造方法
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8147914B2 (en) 2007-06-12 2012-04-03 Massachusetts Institute Of Technology Orientation-controlled self-assembled nanolithography using a block copolymer
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7763319B2 (en) 2008-01-11 2010-07-27 International Business Machines Corporation Method of controlling orientation of domains in block copolymer films
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7754518B2 (en) 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8304033B2 (en) 2009-02-04 2012-11-06 Tel Epion Inc. Method of irradiating substrate with gas cluster ion beam formed from multiple gas nozzles
US8097860B2 (en) 2009-02-04 2012-01-17 Tel Epion Inc. Multiple nozzle gas cluster ion beam processing system and method of operating
US20100193898A1 (en) * 2009-02-04 2010-08-05 Tel Epion Inc. Method for forming trench isolation using gas cluster ion beam processing
US20100200774A1 (en) * 2009-02-09 2010-08-12 Tel Epion Inc. Multi-sequence film deposition and growth using gas cluster ion beam processing
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5448536B2 (ja) 2009-04-08 2014-03-19 東京エレクトロン株式会社 レジスト塗布現像装置およびレジスト塗布現像方法、並びにレジスト膜処理装置およびレジスト膜処理方法
US8349203B2 (en) 2009-09-04 2013-01-08 International Business Machines Corporation Method of forming self-assembled patterns using block copolymers, and articles thereof
US8828493B2 (en) 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8338086B2 (en) * 2010-03-31 2012-12-25 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8435728B2 (en) 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8696918B2 (en) 2010-05-05 2014-04-15 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
US8975327B2 (en) 2010-11-24 2015-03-10 Dow Corning Corporation Controlling morphology of block copolymers
KR101891987B1 (ko) 2011-05-31 2018-08-28 엘지디스플레이 주식회사 유기 발광장치 및 그 제조방법
KR101964761B1 (ko) 2011-06-23 2019-04-02 에이에스엠엘 네델란즈 비.브이. 리소그래피에 사용하기 위한 자기-조립성 폴리머 및 방법
US8956804B2 (en) 2011-06-23 2015-02-17 Asml Netherlands B.V. Self-assemblable polymer and methods for use in lithography
KR101890425B1 (ko) 2011-07-14 2018-08-22 삼성디스플레이 주식회사 포토레지스트 박리용 조성물 및 이를 이용한 표시 기판의 제조 방법
NL2009002A (en) 2011-07-18 2013-01-21 Asml Netherlands Bv Method for providing a template for a self-assemblable polymer for use in device lithography.
US9718250B2 (en) 2011-09-15 2017-08-01 Wisconsin Alumni Research Foundation Directed assembly of block copolymer films between a chemically patterned surface and a second surface
US8900941B2 (en) * 2012-05-02 2014-12-02 Globalfoundries Inc. Methods of forming spacers on FinFETs and other semiconductor devices
DE102012105384A1 (de) 2012-06-21 2012-09-06 AP&S International GmbH Lift-off-Verfahren und Vorrichtung zum Durchführen des Lift-off-Verfahrens
KR102003334B1 (ko) 2012-09-04 2019-07-24 삼성전자주식회사 패턴 형성 방법
US8715917B2 (en) 2012-10-04 2014-05-06 International Business Machines Corporation Simultaneous photoresist development and neutral polymer layer formation
US8956808B2 (en) 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002163998A (ja) * 1991-05-13 2002-06-07 Seiko Epson Corp 電子放出装置の駆動方法
JPH1130711A (ja) * 1997-07-11 1999-02-02 Canon Inc 回折光学素子及びその製造方法及び光学機器
JP2007172724A (ja) * 2005-12-21 2007-07-05 Victor Co Of Japan Ltd スタンパの製造方法
JP2010531051A (ja) * 2007-06-04 2010-09-16 マイクロン テクノロジー, インク. 自己組織化材料を使用するピッチマルチプリケーション
JP2011515537A (ja) * 2008-03-21 2011-05-19 マイクロン テクノロジー, インク. 等しい優先性で両ブロックを湿潤にするために、制約を受ける上部界面を有するブロック共重合体膜の熱アニーリング
JP2010085977A (ja) * 2008-09-03 2010-04-15 Shin-Etsu Chemical Co Ltd パターン形成方法
WO2011140200A1 (en) * 2010-05-05 2011-11-10 Tel Epion Inc. Gas cluster ion beam system with rapid gas switching apparatus
JP2012078830A (ja) * 2010-10-04 2012-04-19 Rohm & Haas Electronic Materials Llc 下層組成物および下層を像形成する方法
WO2012084558A1 (en) * 2010-12-23 2012-06-28 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021525455A (ja) * 2018-06-01 2021-09-24 エーエスエム・アイピー・ホールディング・ベー・フェー 浸透性材料に浸透させる浸透装置および方法
JP7420744B2 (ja) 2018-06-01 2024-01-23 エーエスエム・アイピー・ホールディング・ベー・フェー 浸透性材料に浸透させる浸透装置および方法

Also Published As

Publication number Publication date
WO2014152116A1 (en) 2014-09-25
TWI560746B (en) 2016-12-01
JP6139011B2 (ja) 2017-05-31
KR20150131133A (ko) 2015-11-24
TW201501176A (zh) 2015-01-01
US20140273514A1 (en) 2014-09-18
US9147574B2 (en) 2015-09-29
KR101691321B1 (ko) 2016-12-29

Similar Documents

Publication Publication Date Title
JP6139011B2 (ja) 誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化
US11538684B2 (en) UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
CN107210197B (zh) 用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案
US8900467B1 (en) Method for making a chemical contrast pattern using block copolymers and sequential infiltration synthesis
US8097175B2 (en) Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
KR101284422B1 (ko) 기판 상에 형성된 반원통 어레이의 그래포에피택셜 자기 조립
US8562844B2 (en) Methods using block co-polymer self-assembly for sub-lithographic patterning
US8450418B2 (en) Methods of forming block copolymers, and block copolymer compositions
JP5596133B2 (ja) ブロック共重合体を用いてホール又はビアを有するデバイスを形成する方法
JP2015520510A (ja) ブロックコポリマーを用いたパターンの形成および物品
KR102047140B1 (ko) 블록-공중합체를 이용하는 에칭 방법
JP5973557B2 (ja) ブロック共重合体を用いて基板の表面にパターンを作製する方法
JP2015516891A (ja) 薄膜ブロックコポリマーの配向性の制御のための無水コポリマートップコート
US8975009B2 (en) Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US9029271B2 (en) Methods of patterning block copolymer layers
US9417520B2 (en) Methods of patterning block copolymer layers and patterned structures
JP2019114792A (ja) 化学的ガイディング構造を基板上に形成するための方法及び化学的エピタキシー方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160823

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161122

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170425

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170426

R150 Certificate of patent or registration of utility model

Ref document number: 6139011

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees