KR101284422B1 - 기판 상에 형성된 반원통 어레이의 그래포에피택셜 자기 조립 - Google Patents

기판 상에 형성된 반원통 어레이의 그래포에피택셜 자기 조립 Download PDF

Info

Publication number
KR101284422B1
KR101284422B1 KR1020107027059A KR20107027059A KR101284422B1 KR 101284422 B1 KR101284422 B1 KR 101284422B1 KR 1020107027059 A KR1020107027059 A KR 1020107027059A KR 20107027059 A KR20107027059 A KR 20107027059A KR 101284422 B1 KR101284422 B1 KR 101284422B1
Authority
KR
South Korea
Prior art keywords
trench
block copolymer
delete delete
block
substrate
Prior art date
Application number
KR1020107027059A
Other languages
English (en)
Other versions
KR20110007612A (ko
Inventor
댄 비. 밀워드
도날드 웨스트모어랜드
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Publication of KR20110007612A publication Critical patent/KR20110007612A/ko
Application granted granted Critical
Publication of KR101284422B1 publication Critical patent/KR101284422B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0198Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/888Shaping or removal of materials, e.g. etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/895Manufacture, treatment, or detection of nanostructure having step or means utilizing chemical property
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/90Manufacture, treatment, or detection of nanostructure having step or means utilizing mechanical or thermal property, e.g. pressure, heat
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24174Structurally defined web or sheet [e.g., overall dimension, etc.] including sheet or component perpendicular to plane of web or sheet
    • Y10T428/24182Inward from edge of web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24521Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness with component conforming to contour of nonplanar surface
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • Y10T428/2462Composite web or sheet with partial filling of valleys on outer surface
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component

Abstract

자기 조립 블록 공중합체를 이용하여 라인 어레이에 서브리소그래픽 나노 크기의 미소구조를 제조하는 방법과, 이 방법으로 형성된 막 및 디바이스가 제공된다.

Description

기판 상에 형성된 반원통 어레이의 그래포에피택셜 자기 조립{GRAPHOEPITAXIAL SELF-ASSEMBLY OF ARRAYS OF HALF-CYLINDERS FORMED ON A SUBSTRATE}
본 발명의 실시예는 자기 조립 블록 공중합체의 박막을 제조하는 방법 및 이 방법으로 얻어진 디바이스에 관한 것이다.
나노 크기의 기계적, 전기적, 화학적 및 생물학적 디바이스 및 시스템의 개발이 증가함에 따라, 나노 크기의 디바이스 및 구성요소를 제조하기 위해 새로운 공정 및 재료가 요구되고 있다. 반도체 피처의 치수가 종래의 리소그래피에 의해 쉽게 접근할 수 없는 크기로 수축됨에 따라 도전성 라인에 대한 전기적 접점의 제조는 상당한 도전 과제가 되었다. 광학적 리소그래피 처리 방법은 60 나노미터 수준 아래의 구조 및 피처를 제조하는 데 어려움이 있다. 자기 조립 2-블록 공중합체의 사용은 나노 크기 치수의 패터닝에 다른 방법을 제공한다. 2-블록 공중합체 박막은 어닐링 후에 구성 중합체 블록의 미세상 분리에 의해, 예컨대 중합체의 유리 천이 온도 이상의 열 어닐링에 의해 또는 용제 어닐링에 의해 주기적인 구조로 자발적으로 조립되어 나노미터 크기 치수의 정렬된 도메인을 형성한다.
미세상 분리된 도메인의 크기 및 형상을 포함하는 박막 형태(morphology)는 2-블록 공중합체의 AB 블록의 분자량 및 체적 분율에 의해 조절되어, 특히 층상, 원통형 또는 구형의 형태를 생성한다. 예컨대, 2-블록 중합체의 2개의 블록(AB)의 약 80:20보다 큰 비율의 체적 분율의 경우, 블록 공중합체 막은 미세상 분리되어 중합체 B의 구가 중합체 A의 매트릭스에 의해 둘러싸인 상태로 주기적 구형 도메인으로 자기 조립된다. 약 60:40 내지 80:20의 2개의 블록의 비율의 경우, 2-블록 공중합체는 중합체 A의 매트릭스 내에 중합체 B의 원통의 주기적 육방 밀집(periodic close-packed) 또는 허니컴 어레이로 조립된다. 약 50:50 내지 60:40의 비율의 경우, 블록의 층상 도메인 또는 교호하는 스트립이 형성된다. 도메인 크기는 통상적으로 5 내지 50 nm의 범위에 있다.
층상 블록 공중합체 재료는 기판 상에 라인 피처를 제조하는 데 유용하였다. 그러나 원통은 층상보다 신속하게 자기 조립되고 보다 빨리 결함을 수정한다. 화학적 중립 표면 상에 원통상 형태 블록 공중합체의 자기 조립을 통해 주 블록의 매트릭스에서 블록 공중합체의 부 블록의 상향 반원통의 라인을 제조하는 것을 연구원들이 보고하였다. 매트릭스 재료의 제거 후에, 반원통은 아래에 놓이는 기판 위에 마스킹 구조를 형성한다. 그러나, 다음의 식각은 반원통 라인 아래에 남아 있는 매트릭스 재료를 언더컷하고 등방성 식각하는 경향이 있는데, 이는 기판의 식각 해상도에 악영향을 미친다. 반도체 시스템용의 아래에 놓이는 기판에 구조를 형성하는 용례는 접점, 도전성 라인 및/또는 DRAM 커패시터 등의 다른 요소를 형성하기 위해 요소의 복잡한 배치를 필요로 한다.
이들 문제를 극복하는, 정렬된 나노구조의 라인 어레이의 막을 제조하는 방법을 제공하는 것이 유용할 것이다.
본 발명의 실시예를 예시 목적만을 위한 다음의 첨부 도면을 참조하여 이하에서 설명한다. 다음의 도면에서, 참조 번호가 도면에 사용되며, 동일한 참조 번호는 여러 도면과 발명의 상세한 설명에서 동일하거나 비슷한 부품을 지시하도록 사용된다.
도 1은 본 발명의 실시예에 따른 예비 공정 단계에서 기판의 일부의 개략적인 평면도를 도시하며, 중립 습윤 재료가 상부에 있는 기판을 보여준다. 도 1a-1b는 선 1A-1A 및 1B-1B를 따라 각각 취한 도 1에 도시된 기판의 정단면도이다.
도 2는 다음 단계에서 도 1의 기판의 개략적인 평면도를 도시하며, 중립 습윤 재료 상에 형성된 재료층에서 트렌치의 형성을 보여준다. 도 2a-2b는 선 2A-2A 및 2B-2B를 따라 각각 취한 도 2에 도시된 기판의 일부의 정단면도를 도시한다.
도 3은 본 발명의 다른 실시예에 따른 예비 처리 단계에서 기판의 일부의 측면도를 도시하고, 기판 상에 형성된 재료층에 트렌치를 갖는 기판을 보여준다. 도 4는 다음의 단계에서의 도 3의 기판의 측면도를 도시하고, 트렌치 내에 중립 습윤 재료의 형성을 보여준다.
도 5는 본 발명의 실시예에 따른 트렌치 내에 자기 조립된 원통상 블록 공중합체 막의 제조시의 다음 단계에서의 도 2의 기판의 개략적인 평면도를 도시한다. 도 5a-5b는 선 5A-5A 및 5B-5B를 따라 각각 취한 도 5에 도시된 기판의 일부의 정단면도를 도시한다.
도 6은 다음 단계에서의 도 5b에 도시된 기판의 도면으로서, 트렌치 내에서 블록 공중합체 재료 위에서 우선 습윤 재료의 위치 결정을 보여준다.
도 7은 다음 단계에서의 도 6에 도시된 기판의 평면도로서, 트렌치 내에 자기 조립된 블록 공중합체 재료 위에 우선 습윤 재료의 절취를 보여준다. 도 7a-7b는 선 7A-7A 및 7B-7B를 따라 각각 취한 도 7에 도시된 기판의 단면도를 도시한다. 도 7c는 선 7C-7C를 따라 취한 도 7a에 도시된 기판의 단면의 평면도로서, 트렌치 내에서 중합체 매트릭스 내의 자기 조립된 반원통 라인을 보여준다.
도 8은 다음 단계에서의 도 7b에 도시된 기판의 도면으로서, 본 발명의 실시예에 따른 자기 조립된 블록 공중합체 재료의 표면으로부터 우선 습윤 재료의 제거를 보여준다.
도 9는 다음 단계에서의 도 7에 도시된 기판의 평면도로서, 트렌치 내에 자기 조립된 블록 공중합체 재료의 중합체 도메인 중 하나의 제거를 보여준다. 도 9a-9b는 선 9A-9A 및 9B-9B를 따라 각각 취한 도 9에 도시된 기판의 평면도를 도시한다.
도 10-11은 다음 단계에서 도 9의 기판의 평면도로서, 기판을 식각하고 식각된 개구를 채우는 마스크로서, 중합체 블록 중 하나의 제거 후에 자기 조립된 블록 공중합체 박막의 용도의 실시예를 도시한다. 도 10a-11a는 선 10A-10A 및 11A-11A를 따라 각각 취한 도 10-11에 도시된 기판의 일부의 정단면도를 도시한다. 도 10b-11b는 선 10B-10B 및 11B-11B를 따라 각각 취한 도 10-11에 도시된 기판의 평면도이다.
도 12는 다음 단계에서의 도 5a에 도시된 기판의 도면으로서, 본 발명의 다른 실시예에 따른 트렌치 내에서 블록 공중합체 재료 위에 우선 습윤 분위기의 용례를 보여준다.
도 13은 다음 단계에서의 도 12에 도시된 기판의 평면도로서, 트렌치 내에서 자기 조립된 블록 공중합체 재료의 표면 위에 우선 습윤 브러시층을 보여준다. 도 13a-13b는 선 13A-13A 및 13B-13B를 따라 각각 취한 도 13에 도시된 기판의 단면도를 도시한다.
도면을 참조하는 이하의 설명은 본 발명의 실시예에 따른 장치와 방법의 예시적인 예를 제공한다. 다음의 설명은 본 발명을 예시하기 위한 것일 뿐 제한하기 위한 것이 아니다.
본 출원의 문맥에서, 용어 "반도체 기판" 또는 "반도전성 기판" 또는 "반도전성 웨이퍼 단편" 또는 "웨이퍼 단편" 또는 "웨이퍼"는 반도체 웨이퍼와 같은 벌크 반도전성 재료(단독으로 또는 상부에 다른 재료를 포함하는 조립체로)와, 반도전성 재료층(단독으로 또는 다른 재료를 포함하는 조립체로)을 비롯한(이들로 제한되지 않음) 반도체 재료를 포함하는 임의의 구성을 의미하는 것으로 이해될 것이다. 용어 "기판"은 전술한 반도전성 기판, 웨이퍼 단편 또는 웨이퍼를 포함하는(이들로 제한되지 않음) 임의의 지지 구조를 가리킨다.
본 명세서에 사용되는 "Lo"는 자기 조립(SA; self-assembling) 블록 공중합체로부터의 어닐링 시에 자기 조립하는 구조의 고유 주기성 또는 피치값(벌크 주기 또는 반복 단위)이다. 본 명세서에 사용되는 "LB"는 구성 단일중합체들 중 하나 이상과 블록 공중합체의 혼합물의 주기성 또는 피치값이다. 본 명세서에 사용되는 "L"은 블록 공중합체 또는 혼합물의 원통의 간격 또는 중앙간 원통 피치를 가리키며, 순수 블록 공중합체에 대한 "Lo" 및 공중합체 혼합물에 대한 "LB"에 상당한다.
본 발명의 실시예에서, 중합체 재료(예컨대, 막, 층)는 트렌치 바닥과의 계면을 습윤하는 중합체 도메인을 이용하여 블록 공중합체의 안내된 자기 조립에 의해 마련된다. 블록 공중합체 재료는 어닐링 후에 구성 중합체 블록의 미세상 분리에 의해 주기적 구조로 자발적으로 조립되어, 나노미터 크기의 치수로 정렬된 도메인을 형성한다. 본 발명의 실시예에서, 평행하게 배향된 반원통의 하나 이상의 열 또는 라인이 트렌치 내에 형성되고, 반원통 라인의 평평한 면은 화학적 중립 트렌치 바닥을 습윤한다. 자기 조립에 이어서, 기판 상에 평행하게 배향된 라인(들)은, 예컨대 자기 조립된 블록 공중합체의 한 블록의 선택적 제거를 통해 나노미터 크기의 라인 개구를 아래에 놓이는 기판에 패터닝하는 식각 마스크로서 사용될 수 있다. 이 방법에 수반되는 도메인 크기 및 주기(L)는 블록 공중합체(MW)의 체인 길이에 의해 결정되기 때문에, 해상도는 종래의 포토리소그래피와 같은 다른 기법을 넘어선다. 이 기법을 사용하는 처리 비용은 비슷한 해상도를 갖는 극자외선(EUV; extreme ultraviolet) 포토리소그래피보다 상당히 저렴하다.
본 발명의 일 실시예에 따른 나노미터 크기의 평행하게 배향된 하향 반원통 라인의 어레이를 형성하는 자기 조립된 블록 공중합체 재료를 제조하는 방법이 도 1-9에 도시되어 있다.
설명되는 실시예는 하나의 중합체 블록에 대해 우선 습윤되고 블록 공중합체 재료의 자기 조립을 유도하는 제약으로서 기능하는 측벽 및 단부와 중합체 블록 모두에 대해 중립 습윤되는 재료로 구성되는 바닥과 함께 가이드로서 리소그래피적으로 정의된 트렌치를 이용하는 그래포에피택시 기법과 조합하는 원통상 블록 공중합체의 어닐링을 포함한다. 몇몇 실시예에 있어서, 우선 습윤되는 위에 있는 재료층은 트렌치에서 블록 공중합체 재료 위에 배치된다. 다른 실시예에 있어서, 공기 계면은 선택적으로 원하는 블록을 습윤시킬 수 있다. 어닐링시에, 블록 공중합체 재료는 중합체 매트릭스에서 반원통의 하나 이상의 열 또는 라인으로 자기 조립되어 트렌치 측벽에 정합되며, 반원통의 평평한 면은 하방으로 배향되어 트렌치 바닥을 습윤시킨다. 몇몇 실시예에 있어서, 반원통의 2개 이상의 열의 정렬된 어레이가 각 트렌치에 형성될 수 있다.
도 1-1b에 도시된 바와 같이, 기판(10)이 제공되는데, 기판은 다른 재료들 중에서 실리콘, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 옥시카바이드일 수 있다.
도시된 실시예에 있어서, 기판(10) 위에는 중립 습윤 재료(12)(예컨대, 랜덤 공중합체, 기능화된 단일중합체들의 혼합물 등)이 형성되어 있다. 이어서, 중립 습윤 재료 위에 재료층(14)(또는 하나 이상의 재료층)이 형성되고 식각되어 도 2-2b에 도시된 바와 같이 트렌치(16, 16a)를 형성할 수 있다. 재료층(14)의 일부는 트렌치들 사이에 그리고 그 외측에 스페이서(18)를 형성한다. 트렌치(16, 16a)는 대향 측벽(20), 대향 단부(22), 바닥(24), 폭(wt, wt2), 길이(lt) 및 깊이(Dt)와 함께 구조화된다.
도 3-4에 도시된 다른 실시예에서, 재료층(14')은 기판(10') 상에 형성되고 식각되어 트렌치(16', 16a')를 형성할 수 있으며, 이어서 트렌치 바닥(24') 상에 중립 습윤 재료(12')가 형성될 수 있다. 예컨대, 트렌치 내에 랜덤 공중합체 재료가 퇴적되어 가교되거나 또는 이식되어 중립 습윤 재료층을 형성할 수 있다. 예를 들면, 스페이서(18') 위에 트렌치 외측 표면 상의 재료(예컨대, 가교되지 않은 랜덤 공중합체)가 다음에 제거될 수 있다.
L(10-100 nm)의 크기로 패터닝할 수 있는 노출 시스템을 갖는 리소그래피 툴을 이용하여 (도시된 바와 같이) 단일 또는 다수의 트렌치(16, 16a)가 형성될 수 있다. 그러한 노출 시스템은, 예컨대 당분야에 공지되어 사용되는 바와 같이 극자외선(EUV) 리소그래피, 근접 X선 및 전자빔(e-빔) 리소그래피를 포함한다. 종래의 포토리소그래피는 (최소) 약 58 nm의 피처를 얻을 수 있다.
예컨대 미국 특허 제5,328,810호(Lowrey 등), 미국 특허 제7,115,525호(Abatchev 등), 미국 특허 출원 제2006/0281266호(Wells) 및 미국 특허 출원 제2006/0023805호(Wells)에 설명되는 바와 같이, "피치 배가" 또는 "피치 증식"이라고 하는 방법이 또한 포토리소그래피 기법의 능력을 그 최소 피치를 지나서 연장시키는 데 사용될 수 있다. 요약하면, 라인의 패턴은 기판 위에 놓인 소모재층 위에 놓이는 포토레지스트 재료로 포토리소그래피 방식으로 형성되고, 소모재층이 식각되어 플레이스 홀더 또는 맨드릴을 형성하고, 포토레지스트가 박리되고, 맨드릴의 측면에 스페이서가 형성되고, 그 후 기판을 패터닝하기 위한 마스크로서 스페이서를 뒤쪽에 남겨두고 맨드릴이 제거된다. 따라서, 초기 포토리소그래피가 하나의 피처와 하나의 공간을 정의하는 패턴을 형성하였다면, 동일한 폭이 이제는 2개의 피처와 2개의 공간을 정의하고, 이 공간은 스페이서에 의해 정의된다. 그 결과, 포토리소그래피 기법에 의해 가능한 가장 작은 피처 크기는 약 30 nm 이하로 효율적으로 감소된다.
트렌치 내에 평행하게 배향된 반원통의 단일 라인 또는 다수 라인을 형성할 때의 인자는 트렌치의 폭(wt), 원하는 피치(L)를 달성하기 위한 블록 공중합체 또는 혼합물의 형성, 및 블록 공중합체 재료의 두께(t)를 포함한다.
트렌치의 폭이 (예컨대, wt2에서 wt로) 감소되고/되거나 블록 공중합체의 주기성(L값)이, 예컨대 양쪽 모두의 구성 단일중합체의 추가에 의해 삼원 혼합물을 형성함으로써 증가됨에 따라, 반원통의 라인이 2열에서 1열로 천이된다. x축 및 y축 양자에서 트렌치 측벽(20)의 경계 조건은 각 트렌치가 "n"개의 피처(예컨대, n 라인의 반원통)을 포함하는 구조를 강요한다.
도시된 실시예에 있어서, 트렌치(16)는, 약 L의 피치값을 갖는 캐스트 블록 공중합체 재료(또는 혼합물)가 어닐링시에 각 트렌치(16)의 중심을 향해 내려가는 측벽들과 정렬되는 단일의 평행하게 배향된 하향 반원통 라인(라인 폭은 0.5*L 또는 약 0.5*L)으로 자기 조립되도록 블록 공중합체의 약 1.5*L nm 내지 2*L nm(또는 1.5×피치값 내지 2×피치값)의 폭(wt)을 갖게 구성된다. 약 L의 피치값을 갖는 블록 공중합체 재료(또는 혼합물)가 블록 공중합체 재료의 L값 또는 약 L값에서 인접한 라인들의 중앙간 피치 거리(p)에 n 라인의 하향 반원통(라인 폭 ≒ 약 0.5*L)으로 자기 조립되도록 상대적으로 더 넓은 트렌치(16a)는 (n+1)*L의 폭(wt2)으로 형성된다. 예컨대 더 넓은 트렌치(16a)의 폭(wt2)이 약 3*L nm 내지 65*L nm이 되고, 그 결과 하향 반원통의 각각의 2-64 열의 형성을 야기할 수 있다.
예컨대, 약 1.5*50 nm 내지 2*50 nm 또는 약 75 nm 내지 100 nm의 폭(wt)을 갖는 트렌치(16)에서 약 50 nm 피치값 또는 L을 갖는 원통상 블록 공중합체를 사용할 때, 블록 공중합체 재료는 약 25 nm의 라인 폭을 갖는 단일 하향 반원통을 형성하게 된다. 예컨대, 약 3*L 또는 약 3*50 nm(또는 약 150 nm)의 폭(wt2)을 갖는 트렌치(16a)에서, 블록 공중합체 재료는 약 L값(약 50 nm)의 인접한 반원통 라인의 중앙간 피치 거리(p)에 반원통 구조(약 25 nm의 라인 폭)의 2열을 형성하게 된다.
다른 예에서, 35 nm의 피치 또는 L값을 갖는 원통상 블록 공중합체 또는 혼합물의 경우, 하향 반원통의 약 17.5 nm 폭(약 0.5*L)의 단일 라인이 약 1.5*L nm 내지 2*L nm 또는 약 52.5 nm 내지 70 nm 폭의 폭(wt)을 갖는 트렌치(16)에 형성하게 되고, 약 35 nm의 중앙간 피치 거리(p)에 반원통의 2개의 평행한 라인(각각 약 17.5 nm 폭)이 약 3*L 또는 약 3*35 nm(또는 약 105 nm)의 폭(wt2)을 갖는 트렌치(16a)에 형성하게 된다.
트렌치(16, 16a)의 길이(lt)는 반원통 라인(들)의 원하는 길이에 따른다.
트렌치의 깊이(Dt)는 어닐링 중에 블록 공중합체 재료의 측방향 정렬을 지향시키는 데 효율적이다. 본 발명의 실시예에서, 트렌치 깊이는 블록 공중합체 재료의 최종 두께(t2) 이하일 수 있고(Dt≤t2), 이는 트렌치 폭에 걸쳐서 블록 공중합체 재료의 두께에 매니스커스의 형성 및 가변성을 최소화시킨다. 몇몇 실시예에서, 트렌치 깊이는 트렌치 내에 블록 공중합체 재료의 최종 두께보다 작은 약 ⅔-약 ¾(⅔-¾, 또는 약 67%-75%)이다.
몇몇 실시예에서, 트렌치(16, 16a)의 치수는 폭이 약 20-100 nm(트렌치(16), wt) 및 약 20-3200 nm(트렌치(16a), wt2)이고, 길이(lt)가 약 100-25,000 ㎛이며, 깊이(Dt)가 약 10-100 nm이다.
도 5-5b에 도시된 바와 같이, Lo 또는 약 Lo의 고유 피치를 갖는 자기 조립 원통상 블록 공중합체 재료(26)(또는 LB 또는 약 LB의 피치를 갖도록 혼합된 블록 공중합체와 단일중합체의 삼원 혼합물)가 트렌치(16, 16a)에 퇴적된다. 블록 공중합체 재료의 박층(26a)은 트렌치 외측에, 예컨대 스페이서(18) 상의 재료층(14)에 퇴적될 수 있다.
블록 공중합체 또는 혼합물은 모든 중합체 블록이 트렌치 바닥 상의 중립 습윤 재료에 대해 동일한 선호도를 갖도록 구성된다. 본 발명의 몇몇 실시예에서, 블록 공중합체 또는 혼합물은 주 도메인이 선택적으로 제거될 수 있도록 구성된다. 다른 실시예에서, 부 도메인 중합체 블록이 선택적으로 도핑되거나 또는 구조화되어 어닐링 중에 무기 성분 또는 종(species)(예컨대, 충전제 성분)을 미세상 도메인에 통합할 수 있는데, 미세상 도메인은 주 중합체 도메인, 또는 몇몇 실시예에서는 주 중합체 도메인과 부 중합체 도메인 모두의 선택적 제거시에 식각 저항 재료(예컨대, 마스크)로서 기판 상에 남게 된다. 적절한 무기 전구체는 열 안정적이고 어닐링 온도에서 기화하지 않는다.
무기 종을 통합하는 블록 공중합체는 당업계에 공지된 기법에 의해, 예컨대 직접 합성 기법에 의해, 또는 중합체 블록 중 하나의 반응기와의 복합화 또는 조직화에 의해 무기 종의 원자를 통합함으로써 마련될 수 있다.
예컨대, 미국 특허 제6,565,763호(Asakawa 등)에 기술되는 바와 같이, 블록 공중합체는 무기 내열 재료 또는 그 전구체와 혼합될 수 있고, 하나의 중합체 상, 예컨대 금속염, 금속 산화물 겔, 금속 알콕시화물 중합체, 금속 산화물 전구체, 금속 질화물 전구체 및 금속 미세 입자로 분리하게 된다. 금속의 예로는 특히 실리콘(Si), 크롬(Cr), 티타늄(Ti), 알루미늄(Al), 몰리브덴(Mo), 금(Au), 백금(Pt), 루테늄(Ru), 지르코늄(Zr), 텅스텐(W), 바나듐(V), 납(Pb) 및 아연(Zn)을 포함한다.
금속 알콕시화물의 예로는 테트라메톡시실란, 테트라에톡시실란, 테트라이소프로폭시실란, 테트라이소프로폭시알루미늄 및 테트라이소프로폭시티타늄 등의 알콕시실란과, 부틸트리에톡시실란과 프로필트리에톡시알루미늄 등의 알킬알콕시실란을 포함한다. 금속 알콕시화물 중합체의 예는 폴리디에톡시실록산이다.
금속 산화물 전구체 또는 금속 질화물 전구체의 예로는 폴리실세스퀴옥산(예컨대, 폴리메틸실세스퀴옥산, 폴리메틸하이드록실 실세스퀴옥산, 폴리페닐실세스퀴옥산 등), 폴리헤드랄 올리고메릭 실세스퀴옥산(POSS; polyhedral oligomeric silsesquioxane) 및 폴리실라잔을 포함한다.
몇몇 실시예에서, 블록 공중합체의 용액에는 블록 공중합체의 중합체 체인 중 하나와 높은 친화도를 갖고 어닐링 중에 중합체 상들 중 하나로 분리되는 유기 금속염 등의 첨가제가 결합될 수 있다. 예컨대, 블록 공중합체는 유기 성분이 결합된 금속염과 혼합될 수 있다. 그러한 유기 금속염의 예는 특히, 리튬 2,4-펜탄디오네이트, 리튬 테트라메틸펜탄디오네이트, 루테늄 2,4-펜탄디오네이트, 마그네슘 2,4-펜탄디오네이트, 마그네슘 헥사플루오로펜탄디오네이트, 마그네슘 트리플루오로펜탄디오네이트, 망간(Ⅱ) 2,4-펜탄디오네이트, 몰리브덴(V) 에톡사이드, 몰리브덴(Ⅵ) 산화물 비스(2,4-펜탄디오네이트), 네오디뮴 6,6,7,7,8,8,8-헵타플루오로-2,2-디메틸-3.5-옥탄디오네이트, 네오디뮴 헥사플루오로펜탄디오네이트, 네오디뮴(Ⅲ) 2,4-펜탄디오네이트, 니켈(Ⅱ) 2,4-펜탄디오네이트, 니오븀(V) n-부톡사이드, 니오븀(V) n-에톡사이드, 팔라듐 헥사플루오로펜탄디오네이트, 팔라듐 2,4-펜탄디오네이트, 백금 헥사플루오로펜탄디오네이트, 백금 2,4-펜탄디오네이트, 로듐 트리플루오로펜탄디오네이트, 루테늄(Ⅲ) 2,4-펜탄디오네이트, 테트라부틸암모늄 헥사클로로플라티네이트(Ⅳ), 테트라브로모아우레이트(Ⅲ) 세틸피리디늄 염을 포함한다.
미국 특허 출원 제2007/0222995호 및 제2007/0289943호(Lu; Agilent Technologies사)에 기술된 바와 같이, 금속(예컨대, 철, 코발트, 몰리브덴 등) 등의 무기 종의 원자는 폴리(스티렌)-b-폴리(비닐피리딘)(PS-b-PVP)의 피리딘 단위와 무기 종의 원자의 복합화에 의해 2-블록 공중합체의 하나의 블록에 통합될 수 있고, 여기서 피리딘기는 무기 종, 예컨대 철(Fe) 등과 조직화 결합제를 형성하거나 산계 공액(acid-base conjugate)을 형성한다. 산계 공액의 예로서, PS-b-PVP 블록 공중합체의 용액은 디하이드로겐 헥사클로로플라티네이트(H2PtCl6)과 결합될 수 있고, 단일의 Pt 원자는 각 피리딘기(최대 부하에서)와 복합체를 형성할 수 있다.
미국 특허 출원 제2007/0222995호에 또한 기술된 바와 같이, 무기 종을 통합한 블록 공중합체는 또한 직접적인 합성 기법에 의해 마련될 수 있다. 예컨대, 무기 종 함유 단량체(예컨대, 페로세닐에틸메틸실란 단량체)가 뒤따르는 비금속 함유 단량체(예컨대, 스티렌 단량체)의 순차 리빙 중합화(living polymerization)를 사용하여 무기 종 함유 블록 공중합체[예컨대, 폴리(스티렌)-b-폴리(페로세닐메틸에틸실란)(PS-b-PFEMS)]를 합성할 수 있다.
2-블록 공중합체의 예로는, 예컨대, 특히 폴리(스티렌)-b-폴리(비닐피리딘)(PS-b-PVP), 폴리(스티렌)-b-폴리(메틸메타크릴레이트)(PS-b-PMMA) 또는 다른 PS-b-폴리(아크릴레이트) 또는 PS-b-폴리(메타크릴레이트), 폴리(스티렌)-b-폴리(락티드)(PS-b-PLA), 폴리(스티렌)-b-폴리(3-부틸 아크릴레이트)(PS-b-PtBA), 및 폴리(스티렌)-b-폴리(에틸렌-co-부틸렌(PS-b-(PS-co-PB)), 및 폴리(스티렌)-b-폴리(에틸렌 산화물)(PS-b-PEO), 폴리부타디엔-b-폴리(비닐피리딘)(PB-b-PVP), 폴리(에틸렌-알트-프로필렌)-b-폴리(비닐피리딘)(PEP-b-PVP)를 포함하고, 도시된 실시예에서는 PS-b-PVP 2-블록 공중합체가 사용된다. 다른 종류의 블록 공중합체(즉, 트리블록 또는 멀티블록 공중합체)가 사용될 수 있다. 트리블록 공중합체의 예로는 특히, 폴리(스티렌-b-메틸 메타크릴레이트-b-에틸렌 산화물)(PS-b-PMMA-b-PEO) 등의 ABC 공중합체와, PS-PMMA-PS, PMMA-PS-PMMA 및 PS-b-PI-b-PS 등의 ABA 공중합체를 포함한다.
무기 종을 통합한 2-블록 공중합체의 예로는, 특히 폴리(스티렌)-b-폴리(디메틸실록산)(PS-b-PDMS), 폴리(이소프렌)-b-폴리(디메틸실록산)(PI-b-PDMS), PS-b-PFEMS, 폴리(이소프렌)-b-폴리(페로세닐메틸에틸실란)(PI-b-PFEMS), 폴리(스티렌)-b-폴리(비닐메틸실록산)(PS-b-PVMS), 폴리부타디엔(PB)이 오스뮴 테트록사이드(OSO4)에 의해 착색되는 폴리(스티렌)-b-폴리(부타디엔)(PS-b-PB) 및 피리딘기가 무기 종과 조직화 결합제를 형성하는 폴리(스티렌)-b-폴리(비닐피리딘)(PS-b-PVP)를 포함한다. 어닐링 및 중합체 블록의 반원통과 매트릭스로의 자기 조립 후에, 산화 공정(예컨대, 자외선(UV)-오존화 또는 산소 플라즈마 식각)이 수행되어 블록 공중합체 도메인의 유기 성분을 제거하고 무기 종을 전환시켜 비휘발성 무기 산화물을 형성할 수 있는데, 비휘발성 무기 산화물은 기판 상에 잔류하여 다음 식각 공정에서 마스크로서 사용될 수 있다. 예컨대, PDMS 및 PFEM 블록 공중합체의 무기 종은 실리콘 및 철이고, 이들은 산화시에 비휘발성 산화물, 예컨대 실리콘 산화물(SiOx) 및 철 산화물(FexOy)을 형성하게 된다.
블록 공중합체의 L값은, 예컨대 블록 공중합체의 분자량을 조절함으로써 수정될 수 있다. 블록 공중합체 재료는 또한 블록 공중합체와, 블록 공중합체의 중합체 블록과 동일한 종류의 중합체의 하나 이상의 단일중합체(HP)를 포함하는 이원 또는 삼원 혼합물로서 조직화될 수 있어, 중합체 도메인의 크기를 증가시키고 L값을 증가시키는 혼합물을 생성할 수 있다. 혼합물에서 단일중합체의 농도는 0 내지 약 60 중량%일 수 있다. 일반적으로, 중합체 재료에 첨가될 때, 단일중합체 양쪽 모두는 대략 동일한 비율 또는 양으로 혼합물에 첨가된다. 삼원 2-블록 공중합체 혼합물의 예는 PS-b-PVP/PS/PVP 혼합물, 예컨대 60 중량%의 32.5 K/12K PS-b-PVP, 20 중량%의 10K PS, 및 20 중량%의 10K PVP이다. 삼원 2-블록 공중합체 혼합물의 다른 예는 PS-b-PMMA/PS/PMMA 혼합물, 예컨대 60 중량%의 46K/21K PS-b-PMMA, 20 중량%의 20K 폴리스티렌 및 20 중량%의 20K 폴리(메틸 메타크릴레이트)이다. 또 다른 예는 60:20:20(중량%)의 PS-b-PEO/PS/PEO의 혼합물, 또는 약 85-90 중량%의 PS-b-PEO와 최대 10-15 중량%의 PEO 단일중합체의 혼합물이다.
미세상 분리된 도메인의 도메인 크기와 주기(Lo)를 비롯한 막 형태는 (특히) 원통형 형태를 생성하도록 블록 공중합체(분자량, MW)의 체인 길이 및 2-블록 공중합체의 AB 블록의 용적 분율에 의해 조절될 수 있다. 예컨대, 용적 분율의 경우, 일반적으로 약 60:40 내지 80:20의 2개의 블록의 비율에서, 2-블록 공중합체는 미세상 분리되어 중합체 A의 매트릭스 내에서 중합체 B의 주기적 반원통 도메인으로 자기 조립된다. PS의 매트릭스에서 약 20 nm 폭의 반원통 PVP 도메인을 형성하기 위한 원통 형성용 PS-b-PVP 공중합체 재료(Lo~35 nm)의 일례는 약 70 중량%의 PS와 30 중량%의 PVP로 구성되고, 총분자량(Mn)은 44.5 kg/mol이다.
도 5a를 참조하면, 원통상 블록 공중합체 재료(26)는 어닐링 후의 두께(t2)가 L값 이하로 되고, 블록 공중합체 재료가 자기 조립되어 측벽(20)에 평행하게 정합되며 (도 7a-7b에서처럼) 각 트렌치의 길이(lt)로 연장되는 하향 반원통의 단일층을 형성하도록 블록 공중합체 재료의 L값 또는 약 L값(예컨대, 약 ±20%의 L)에서 초기 두께(t1)로 트렌치(16, 16a)로 캐스트되거나 퇴적될 수 있다. 블록 공중합체 재료(26)의 두께는 예컨대 타원 편광 기법에 의해 측정될 수 있다.
블록 공중합체 재료는, 예컨대 디클로로에탄(CH2Cl2) 또는 톨루엔 등의 유기 용제 중에 공중합체의 묽은 용액(예컨대, 약 0.25 내지 2 중량% 용액)으로부터 스핀 캐스팅(스핀 코팅)에 의해 퇴적될 수 있다. 모세관 힘이 (예컨대, 단층보다 큰) 여분의 블록 공중합체 재료(26)를 트렌치(16, 16a) 내로 끌어당긴다. 도시된 바와 같이, 블록 공중합체 재료의 박층 또는 막(26a)이 트렌치 외측에서, 예컨대 스페이서(18) 상에 재료층(14) 위에 퇴적될 수 있다. 어닐링시, 박막(26a)은 평면도로부터 재료층(14) 상의 무구조(structureless) 브러시층을 남겨두고 트렌치 내로 유동하게 된다.
본 실시예에서, 트렌치 바닥(24)은 중립 습윤(공중합체의 양 블록에 동일한 친화도)으로 구조화되어 트렌치 바닥 상에 하향 배향되는 반원통 중합체 도메인의 형성을 유도하고, 트렌치 측벽(20)과 단부(22)는 블록 공중합체의 한 블록에 의해 우선 습윤으로 구조화되어 중합체 블록이 자기 조립할 때 측벽(20)에 대한 반원통의 정합을 유도한다. 엔트로픽 힘은 양 블록에 의해 중립 습윤 표면의 습윤을 유도하고, 엔탈픽 힘은 선호 블록(예컨대, 부 블록)에 의해 우선 습윤 표면의 습윤을 유도한다.
화학적 중립 습윤 트렌치 바닥(24)은 공중합체 재료의 양 블록이 트렌치의 바닥을 습윤하게 하여 본 개시물의 반원통 라인 배치의 형성을 제공한다. 본 발명의 실시예에서 중립 습윤 트렌치 바닥의 사용은 나노크기의 레벨로 다수 라인의 배치를 형성하기 위해 아래에 놓이는 기판을 식각하기 위한 마스크로서 쉽게 사용될 수 있는 기판 표면 상에 형성되는 일련의 평행한 라인을 갖는 자기 조립된 막을 형성하도록 사용될 수 있는 블록 공중합체 재료의 개수를 확장시킨다.
중립 습윤 재료(12)는, 예컨대 기판(10) 상에 중립 습윤 중합체(예컨대, 중립 습윤 랜덤 공중합체)를 적용한 다음, 위에 놓이는 재료층(14)을 형성하고, 도 2-2b에 도시된 바와 같이 아래에 있는 중립 습윤 재료를 노출시키도록 트렌치(16, 16a)를 식각함으로써 제공될 수 있다.
도 3-4에 도시된 다른 실시예에서, 중립 습윤 랜덤 공중합체 재료는 예컨대 도 4에 도시된 바와 같이 트렌치 내로 캐스팅 또는 스핀 코팅함으로써 블랭킷 코트로서 트렌치(16', 16a')를 형성한 후 적용될 수 있다. 이어서, 랜덤 공중합체 재료는 열적으로 처리되어 재료를 모세관 작용에 의해 트렌치의 바닥으로 유동시키고, 그 결과 층(매트; 12')은 가교된 중립 습윤 랜덤 공중합체로 구성된다. 다른 실시예에서, 트렌치 내의 랜덤 공중합체 재료는 (예컨대, 마스크 또는 레티클을 통해) 광 노출되어 트렌치 내의 랜덤 공중합체를 가교하고 중립 습윤 재료(12')를 형성할 수 있다. 트렌치 외측의[예컨대, 스페이서(18') 상의] 가교되지 않은 랜덤 공중합체 재료는 이후에 제거될 수 있다.
중립 습윤 표면은 블록 공중합체에서와 동일한 단량체로 구성되는 랜덤 공중합체의 적용에 의해 특별히 마련되고 각 단량체의 몰 분율이 중립 습윤 표면을 형성하는 데 적절하도록 맞춰질 수 있다. 예컨대, PS-b-PVP 블록 공중합체의 사용 중에, 중립 습윤 재료(12)는 (예컨대, 스핀 코팅에 의해) 기판(10) 상에 캐스트될 수 있는 PS 및 PVP를 향해 비우선 또는 중립 습윤을 나타내는 광 가교 가능한 랜덤 PS-r-PVP의 박막으로부터 형성될 수 있다. 랜덤 공중합체 재료는 (산화물 기판 상에) 화학적 이식에 의해 또는 (임의의 표면을) 열적으로 또는 광분해적으로 가교함으로써 제자리에 고정되어, PS 및 PVP에 대해 중립 습윤이고, 가교에 기인하여 블록 공중합체 재료가 위에 캐스트될 때 불용성인 매트를 형성할 수 있다.
다른 실시예에서, 히드록실 말단 단일중합체 및 대응하는 저분자량 블록 공중합체의 혼합물이 기판에 이식되어(공유 결합되어), 다른 블록 공중합체 중에서도 PS-b-PMMA와 PS-b-P2VP를 위한 중립 습윤 계면층(예컨대, 약 4 내지 5 nm)을 형성할 수 있다. 블록 공중합체는 이식 전에 단일중합체 혼합물을 유화하는 기능을 할 수 있다. 예컨대, 약 20 내지 50 중량%(또는 약 30 내지 40 중량%)의 OH 말단 단일중합체(예컨대, Mn = 6K) 및 약 80 내지 50 중량%(또는 약 70 내지 60 중량%)의 저분자량 블록 공중합체(예컨대, 5K-5K)의 혼합물의 약 1 중량%의 용액(예컨대, 톨루엔 중에)이 기판(10; 예컨대, SiO2) 상에 스핀 코팅되고 (예컨대, 160℃에서) 가열(소성)될 수 있고, 이식되지 않은(결합되지 않은) 중합체 재료가, 예컨대 용매 세정제(예컨대, 톨루엔)에 의해 제거될 수 있다. 예컨대, 중립 습윤 재료는 약 30 중량%의 PS-OH(Mn=6K) 및 PMMA-OH(Mn=6K)(4:6의 중량비) 및 약 70 중량%의 PS-b-PMMA(5K-5K)의 혼합물, 또는 PS-OH(6K), P2VP-OH(6K) 및 PS-b-2PVP(8K-8K)의 삼원 혼합물 등으로부터 마련될 수 있다.
기판(10)이 (기본 산화물을 갖는) 실리콘인 실시예에서, PS-b-PMMA를 위한 중립 습윤 표면은 수소 말단 실리콘에 의해 제공될 수 있다. 트렌치(16, 16a)의 바닥(24)은, 예컨대 수소 플라즈마에 의해 식각되어 산화물 재료를 제거하고 수소 말단 실리콘을 형성할 수 있는데, 이 실리콘은 블록 공중합체 재료의 양 블록과 동일한 친화도를 갖는 중립 습윤이다. H 말단 실리콘은 종래의 공정에 의해, 예컨대 실리콘 기판의 불화물 이온 식각(기본 산화물 존재, 약 12-15Å)에 의해, 불화수소(HF)와 완충된 HF 또는 불화암모늄(NH4F)의 수용액에 대한 노출에 의해, HF 증기 처리에 의해, 또는 수소 플라즈마 처리(예컨대, 원자 수소)에 의해 마련될 수 있다.
H 말단 실리콘 기판은 PS-r-PVP, PS-r-PMMA 등과 같은 랜덤 공중합체를 선택적으로 기판 상에 이식함으로써 추가 처리되어 대응하는 블록 공중합체(예컨대, PS-b-PVP, PS-b-PMMA 등)를 위한 중립 습윤 표면을 만들 수 있다. 예컨대 PS-r-PMMA 랜덤 공중합체의 중립 습윤층은 약 10 내지 15 nm 두께의 막을 생성하도록 중합체를 H 말단 실리콘 표면에 연결하는 디비닐 벤젠 등의 디-올레핀(di-olefin) 링커를 이용하는 스티렌과 메틸 메타크릴레이트의 인 시튜(in situ) 자유 래디컬 중합화에 의해 제공될 수 있다.
다시 도 3-4를 참조하면, 다른 실시예에 있어서, 중립 습윤 랜덤 공중합체 재료(12')는 재료층(14')과 트렌치(16')의 형성 후에 적용될 수 있고, [기판(10') 재료로 구성되는] 트렌치 바닥(24')과 선택적으로 반응하고 [재료층(14')으로 구성되는] 트렌치 측벽(20') 또는 단부(22')와 반응하지 않는다. 예컨대, 에폭시드기 함유 랜덤 공중합체(또는 블록 공중합체 계면 활성제와 단일중합체의 적절한 혼합물)는 실리콘 산화물 또는 실리콘에 대해 실리콘 질화물과 실리콘 산질화물 표면 상의 말단 아민 작용기(예컨대, -NH- 및 -NH2)에 대해 선택적으로 반응한다. 트렌치 바닥(24')이 실리콘 또는 폴리실리콘이고, 측벽(20')이 산화물(예컨대, SiOx) 등의 재료인 다른 예에 있어서, 바닥은 H 말단 실리콘을 형성하도록 처리될 수 있고 랜덤 공중합체 재료(14')(예컨대, PS-r-PVP, PS-r-PMMA 등)는 오직 바닥 표면에서 인 시튜(in situ) 중합화에 의해 형성될 수 있다.
또 다른 실시예에서, (예컨대, PS-b-PMMA와 PS-b-PEO를 위한) 중립 습윤 표면은, 예컨대 D.H. Park(Nanotechnology 18(2007), 355304쪽)에 의해 설명되는 바와 같이 산화물(예컨대, SiO2)에 이식된 3-(파라-메톡시페닐)프로필트리클로로실란 등의 트리클로로실란계 SAM의 자기 조립된 단층(SAM)을 이식함으로써 제공될 수 있다.
PS-b-PMMA에 대해 중립 습윤인 표면은 또한 스티렌과 메틸 메타크릴레이트의 벤조사이클로부텐 또는 아지도메틸스티렌 기능화된 랜덤 공중합체[예컨대, 폴리(스티렌-r-벤조사이클로부텐-r-메틸 메타아크릴레이트(PS-r-PMMA-r-BCB)] 등의 광 또는 열적 가교형 랜덤 공중합체의 블랭킷층을 스핀 코팅함으로써 마련될 수 있다. 예컨대, 그러한 랜덤 공중합체는 약 42 중량%의 PMMA, 약 (58-x) 중량%의 PS 및 x 중량%(예컨대, 약 2 내지 3 중량%)의 폴리벤조사이클로부텐 또는 폴리(파라-아지도메틸스티렌)을 포함할 수 있다. 아지도메틸스티렌 기능화된 랜덤 공중합체는 UV광 가교(예컨대, 약 15초 내지 약 30분 동안 1 내지 5 MW/cm2 노출) 또는 (예컨대, 약 4 시간 동안 약 170℃에서)열적 가교되어 중립 습윤층으로서 가교된 중합체를 형성할 수 있다. 벤조사이클로부텐 기능화된 랜덤 공중합체는 (예컨대, 약 4 시간 동안 약 200℃에서 또는 약 10 분 동안 약 250℃에서) 열적으로 가교될 수 있다.
다른 실시예에서, 폴리스티렌(PS), 히드록실기를 갖는 폴리메타크릴레이트(PMMA)의 중립 습윤 랜덤 공중합체[예컨대, 2-히드록시에틸 메타크릴레이트(P(S-r-MMA-r-HEMA)](예컨대, 약 58 중량%의 PS)는 약 48 시간 동안 약 160℃에서의 가열에 의해 약 5 내지 10 nm 두께의 중립 습윤층으로서 기판(10, 예컨대 산화물)에 선택적으로 이식될 수 있다. 예컨대 In 등의 랭뮈어(Langmuir)(2006년, 22판, 7855-7860쪽)를 참조.
예컨대, PS-b-PVP 블록 공중합체의 사용 중에 우선 습윤 트렌치 측벽을 제공하기 위해, 재료층(14)은, 도시된 실시예에서 PVP 블록인 선호 블록(예컨대, 부 블록)을 향해 우선 습윤을 보이는 다른 재료 중에서, (기본 산화물을 갖는) 실리콘, 산화물(예컨대, 실리콘 산화물, SiOx), 실리콘 질화물, 실리콘 옥시카바이드, 인듐 주석 산화물(ITO), 실리콘 산질화물 및 메타아크릴레이트계 레지스트와 폴리디메틸 글루타리미드 레지스트 등의 레지스트 재료로 구성될 수 있다. 블록 공중합체 재료의 어닐링 및 자기 조립시, 선호 블록(예컨대, PVP 블록)은 트렌치의 우선 습윤 측벽(20) 및 단부(22)를 따라 얇은 계면층을 형성하게 된다.
PS-b-PMMA를 이용하는 다른 실시예에서, -OH 함유 모이어티(moiety)(예컨대, 히드록시에틸메타크릴레이트)로 개질된 폴리메틸메타크릴레이트(PMMA) 중합체 등의 우선 습윤 재료는 중립 습윤 재료(12)가 (도 2-2b, 도 4에서처럼) 트렌치 바닥(24)에 자리하고 있는 실시예에서 트렌치의 측벽 상에 선택적으로 적용될 수 있다. 예컨대, 중립 습윤층은 SiOx 측벽의 존재 하에 H 말단 실리콘 상의 랜덤 공중합체 및 이어서 측벽에 이식되는 OH-개질 PMMA의 인-시튜 중합화에 의해 트렌치 바닥(24; 예컨대, 도 4의 층) 상에 형성될 수 있다. OH-개질 PMMA는, 예컨대 스핀 코팅되고 이어서 (예컨대, 약 170℃까지) 가열됨으로써 말단 OH 기가 트렌치의 측벽(20)과 (예컨대, 산화물의) 단부(22)에 선택적으로 최종 이식하게 하도록 적용될 수 있다. 이식되지 않은 재료는 적절한 용제(예컨대, 톨루엔)에 의한 세척에 의해 제거될 수 있다. 예컨대 Mansky 등의 사이언스(Science)(1997, 275판, 1458-1460쪽)와 In 등의 랭뮈어(Langmuir)(2006년, 22판, 7855-7860쪽)을 참조.
도 6을 참조하면, 트렌치에서 블록 공중합체 재료(26)의 표면(28)은 도시된 실시예에서 부 블록인 공중합체 재료(26)의 블록들 중 하나를 우선적으로 습윤시키는 재료(30)에 의해 접촉된다.
본 발명의 실시예에서, 우선 습윤 재료(30)는 블록 공중합체 재료(26)의 표면에 배치되는 고체 재료로 구성된다. 예컨대, 우선 습윤 재료(30)는 가교된 폴리(디메틸실록산)(PDMS) 엘라스토머(예컨대, Dow-Corning사에 의한 Sylgard-184) 또는 다른 엘라스토머 중합체 재료(예컨대, 실리콘, 폴리우레탄 등) 등의 연성, 가요성 또는 탄성의 고체 재료로 구성될 수 있다.
가교된 고체 PDMS 재료(30)는 소수성인 외측면을 제공하는데, 이 외측면은 예컨대 실라놀(SiOH)기를 표면에 추가하여 PDMS 표면을 친수성이 되게 하도록 플라즈마 산화에 의해 변경될 수 있다. 예컨대, PS-b-PVP(70:30) 블록 공중합체를 사용할 때, PS-b-PVP 블록 공중합체 재료(26)와 접촉하도록 배치된 소수성 표면을 갖는 PDMS 재료(30)는 PS 블록에 의해 우선적으로 습윤되지만, 친수성 표면을 갖게 개질된 PDMS 재료(30)는 PVP 블록에 의해 우선적으로 습윤된다. 어닐링 후, PDMS 재료(30)는 예컨대 블록 공중합체 재료(26)의 표면(28)으로부터 재료(30)를 들어올리거나 박리함으로써 제거될 수 있고, 이는 PDMS 재료에 스며들어 재료를 팽창시켜 물리적 제거를 향상시키도록 물, 알콜 등의 용제를 (예컨대, 소킹(soaking)에 의해) 적용하는 것을 포함할 수 있고, 이들 용제는 블록 공중합체와 융화성을 갖고 블록 공중합체를 용해시키지 않는다. 묽은 불화물 용액(예컨대, NH4F, HF, NaF 등)은 또한 PDMS 재료를 식각하여 용해시키도록 적용될 수 있다.
다른 실시예에서, 우선 습윤 재료(30)는 블록 공중합체 재료(26)의 표면(28)에 무기 막으로서 형성될 수 있다. 예컨대, 스핀 온 유전체(SOD; spin-on dielectric) 재료의 층은, 예컨대 스핀 온 액체 실리콘 함유 중합체를 적용하고, 용제를 (예컨대, 가열에 의해) 제거한 다음, 중합체층을 산화(예컨대, 산소 분위기, 증기-산화 공정, 습식 화학적 산화 등)시킴으로써 형성되어 경질 이산화규소(SiO2)층과, PVP (부) 블록에 의해 우선적으로 습윤되는 소수성 표면을 형성할 수 있다. 이 방법의 실시예에서, 산화는 BCP 재료의 열 어닐링과 동시에 수행될 수 있다. 실리콘 함유 중합체의 예는 실리케이트, 실록산(예컨대, 히드로겐 실세스퀴옥산(HSQ), 헥사메틸디실록산, 옥타메틸트리실록산 등), 실라잔(예컨대, 헥사메틸디실라잔(HMDS), 테트라메틸디실라잔, 옥타메틸사이클로테트라실라진, 헥사메틸사이클로트리실라진, 디에틸아미노트리메틸실란, 디메틸아미노트리메틸실란 등의 폴리실라잔) 및 실리세스퀴옥산(예컨대, 히드로겐 실세스퀴옥산(HSQ))을 포함한다. 스핀 온 중합체 재료는, 예컨대 캐스팅, 스핀 도포, 유동 코팅 또는 분무 코팅 기법에 의해 적용될 수 있다. 스핀 온 중합체 재료의 용제, 예컨대 물 또는 알콜은 블록 공중합체와 친화성이 있고 블록 공중합체를 용해시키지 않는다. 어닐링 후, 유전체 우선 습윤 재료층(30)은 제어된 식각 백 공정을 이용하여, 예컨대 불화물계 식각액을 도포하여 유전체 재료가 낮은 식각율(예컨대, 약 200 Å/min 미만)로 식각되게 함으로써 제거될 수 있다.
블록 공중합체 재료(26)의 표면(28)과 접촉하는 우선 습윤 재료(30)의 경우, 어닐링 공정은 트렌치 표면의 우선 및 중립 습윤과 위에 있는 재료(30)의 우선 습윤에 반응하여 중합체 블록이 상 분리되게 하도록 수행되어(도 6의 화살표 ↓), 도 7-7c에 도시된 바와 같이 자기 조립된 중합체 재료(32)를 형성한다.
열 어닐링은 공중합체 재료의 컴포넌트 블록의 유리 천이 온도 이상에서 수행될 수 있다. 예컨대, PS-b-PVP 공중합체 재료는 자기 조립된 형태를 달성하도록 약 1 내지 24 시간 동안 진공 오븐에서 약 150 내지 275℃의 온도에서 전반적으로 어닐링될 수 있다. 어닐링된 공중합체 재료(32)의 결과적인 형태(예컨대, 반원통 라인의 평행한 배향)는 예컨대 원자력 현미경(AFM), 투과 전자 현미경(TEM), 주사 전자 현미경(SEM)을 이용하여 검사될 수 있다.
블록 공중합체 재료는 전반적으로 가열될 수 있거나, 또는 다른 실시예에서 지역 또는 국부화된 열 어닐링이 블록 공중합체 재료(26)의 일부 또는 섹션에 적용될 수 있다. 예컨대, 기판은 블록 공중합체 재료가 열원을 통과한 후에 냉각시 자기 조립되도록 (도시된 바와 같이) 기판 위에 또는 아래에 배치되는 고온 대 저온 구배(34; 도 6)를 가로질러 이동될 수 있다(또는 열원이 기판에 대해, 예컨대 화살표 →로 이동될 수 있다). 컴포넌트 중합체 블록의 유리 천이 온도 이상으로 가열되는 블록 공중합체 재료의 이들 부분만이 자기 조립되고, 충분히 가열되지 않은 재료 영역은 정렬되지 않고 조립되지 않은 채로 남아 있는다. 기판에 걸쳐 가열된 구역을 "끌어당기면" 전반적인 열 어닐링에 비해 처리가 더 빨라지고 구조의 정렬이 양호해진다.
어닐링시, 원통상 블록 공중합체 재료(26)는 도 7-7c에 도시된 바와 같이 중합체 재료(32; 예컨대, 막)로 자기 조립된다. 트렌치(16)의 폭(wt)에 의해 제공되는 제약과 트렌치 표면[즉, 양 중합체 블록, 예컨대 랜덤 그래프트 공중합체를 향해 중립 또는 비우선 습윤을 보이는 트렌치 바닥(24)과, 부 블록에 대해 우선 습윤인 트렌치 측벽(20)과 위에 있는 재료(30)]의 습윤 특성을 비롯한 경계 조건과 결합되는 원통상 블록 공중합체 조성(예컨대, 약 L 또는 L의 고유 피치를 갖는 70:30 PS-b-PVP)의 특성에 응답하여, 부 (선호) 블록(예컨대, PVP)이 자기 조립되어 트렌치 바닥의 비우선 (중립) 습윤 재료(12) 상에 평행하게 배향된 하향 반원통 도메인(라인)(36)을 형성하는데, 이 도메인은 트렌치 바닥(24)에 평행하고 트렌치(16, 16a)의 길이(lt)에 대하여 측벽(20)에 정합된다. 트렌치 내에서, 주 중합체 블록(예컨대, PS)의 매트릭스(38)는 반원통(36) 위에 놓이고 반원통을 둘러싼다. 일반적으로, 반원통 라인(36)(고려되는 양 블록)은 약 0.5*L 또는 0.5*L의 폭(wc)을 갖게 된다.
또한, 부 (선호) 블록(예컨대, PVP)은 트렌치(16, 16a)의 우선 습윤 측벽(20)과 단부(22)로 분리되고 이를 습윤시켜 얇은 계면 또는 습윤 (브러시) 층(36a)을 형성하며, 위에 있는 우선 습윤 재료층(30)으로 분리되고 이를 습윤시켜 위에 있는 얇은 습윤층(36as)을 형성하게 된다. 습윤층(36a, 36as)(고려되는 양 블록)의 두께는 일반적으로 약 0.5*L인데, 이는 0.25*L 이하의 부 블록과 약 0.25*L의 주 블록을 포함한다. 예컨대, 0.25*L 이하 두께의 PVP 블록의 층은 산화물 재료로부터 외측을 향하는 PS 도메인(약 0.25*L의 두께)이 부착된 산화물 계면을 습윤시킨다.
본 발명의 실시예에서, 자기 조립된 중합체 재료(32)는 L값 이하, 또는 t2 = b + (0.5*L)[여기서, b는 고려되는 양 블록의 위에 있는 습윤층(36as)의 두께], 또는 t2 = [(≤0.5*L)+(0.5*L)], 또는 t2≤L의 어닐링후 두께(t2)를 갖는다.
블록 공중합체 재료(26)가 금속(예컨대, Si, Fe 등) 등의 무기 종을 포함하는 실시예에 있어서, 무기 종은 어닐링시 하나의 중합체 상으로 분리된다. 예컨대, 피리딘기가 Si 및 Fe 종을 어닐링 중에 선택적으로 용매화하는 실리콘 및/또는 철 함유 첨가제와 결합되는 PS-b-PVP 공중합체의 경우, Si와 Fe 종은 PVP 반원통(36)[및 습윤층(36a)]으로 분리된다. 적절한 무기 전구체는 열적으로 안정적이고 어닐링 온도에서 휘발하지 않는다.
도시된 실시예에서, 트렌치(16)의 폭(wt)은 블록 공중합체(26)의 약 1.5*L nm 내지 2*L nm(또는 1.5×피치값 내지 2×피치값)이고, 그 결과 약 L의 피치값을 갖는 블록 공중합체로부터 트렌치(16)의 중앙 아래에 단일의 반원통이 형성된다. 도 7a 및 7c에 도시된 바와 같이, 약 (n+1)*L[또는 (n+1) × 피치값]의 폭(wt2)을 갖는 더 넓은 트렌치(16a) 내에서, 블록 공중합체 재료는 자기 조립되어 반원통(36)의 다수(n) 라인(2개의 라인으로서 도시됨)을 형성하는데, 인접한 라인의 중앙간 피치 거리(p)는 블록 공중합체 재료의 피치 거리 또는 L값 또는 약 피치 거리 또는 L값에 있다. 트렌치 내의 반원통 라인(36)의 개수(n)는, 예컨대 트렌치의 폭 및/또는 블록 공중합체 재료의 피치 거리(p) 또는 L값에 따라 변경될 수 있다.
블록 공중합체 재료가 어닐링되고 정렬된 후, 우선 습윤 재료(30)는 도 8에 도시된 바와 같이 조립된 블록 공중합체 재료(32)와의 콘택트로부터 제거될 수 있다.
예컨대, PDMS 등의 고체 엘라스토머 재료(30)의 사용시, 재료는 블록 공중합체 재료(32)의 표면으로부터 들어올려지거나 박리될 수 있다. 제거를 용이하게 하기 위해, 물 또는 알콜 등과 같이 조립된 중합체 도메인과 친화성을 갖고 도메인을 용해 또는 식각하지 않는 용제가 (예컨대, 분무, 재료의 소킹에 의해) 적용되어 재료에 스며들고 재료를 팽창시켜 조립된 중합체 구조를 변경 또는 손상시키는 일 없이 제거를 향상시킬 수 있다. 묽은 불화물 용액(예컨대, NH4F, HF, NaF 등)이 또한 PDMS 재료의 제거 및 분해를 조정하도록 적용될 수 있다.
우선 습윤 재료(30)가 스핀 온 유전체(SOD) 등의 무기 재료로 구성되는 본 발명의 실시예에서, 재료(30)는 제어된 식각 백 공정에 의해, 예컨대 불화물계 식각액을 도포함으로써 제거될 수 있고, 이에 의해 유전체 재료는 조립된 중합체 구조를 변경 또는 손상시키는 일 없이 낮은 식각율(예컨대, 약 200 Å/min 미만)로 식각된다.
엘라스토머 재료(30)가 무기 종(예컨대, Si, Fe 등)을 포함하는 블록 공중합체 재료와 함께 사용되는 실시예에 있어서, 중합체 성분을 용해 또는 식각하지만 무기 종을 용해 또는 식각하지 않는 공정을 이용하여 마스크 재료를 형성하도록 기판 상에 무기 종을 남겨두고 블록 공중합체 도메인의 유기 성분을 선택적으로 제거할 수 있다. 예컨대, 산소 플라즈마 식각이 기판 표면 상의 라인으로써 무기 재료(예컨대, Si, Fe 등)를 남겨두고 탄소질의 주 도메인을 제거한다.
일반적으로, 트렌치 외측의 [예컨대, 스페이서(18) 상의] 블록 공중합체 박막(26a)은 결과적으로 자기 조립하는 데 충분한 두께가 되지 않는다. 선택적으로, 구조화되지 않은 박막(26a)은, 예컨대 식각 기법 또는 평탄화 공정에 의해 제거되어 대략 균일하게 평탄한 표면을 제공할 수 있다.
선택적으로, 공중합체 재료는 중합체 도메인들 중 하나(예컨대, PVP 반원통)를 가교하도록 처리되어 중합체 블록의 강도를 고정시키고 향상시킬 수 있다. 예컨대, 중합체 블록들 중 하나가 (예컨대, 딥 자외선(DUV) 방사를 비롯한 자외선(UV) 방사에 대한 노출시에) 본래 가교하도록 구조화될 수 있거나, 중합체 블록이 가교제를 함유하도록 조직화될 수 있다. 예컨대, 트렌치 구역은 레티클(도시 생략)을 통해 선택적으로 노출되어 트렌치(16, 16a) 내에 자기 조립된 중합체 재료(32)만을 가교할 수 있고, 이어서 세척제가 적절한 용제(예컨대, 톨루엔)와 함께 도포되어 블록 공중합체 재료(26a)의 가교되지 않은 부분을 제거할 수 있어, 트렌치 내에 정합된 자기 조립된 중합체 재료(32)를 남겨두고 트렌치 위/외측의 재료층(14)의 표면을 노출시킬 수 있다. 다른 실시예에 있어서, 어닐링된 중합체 재료(32)는 전반적으로 가교될 수 있고, 포토레지스트 재료는 트렌치 구역 외측의 중합체 재료(26a)의 영역을 패터닝하여 노출시키도록 적용될 수 있으며, 중합체 재료(26a)의 노출된 부분은, 예컨대 산소(O2) 플라즈마 처리에 의해 제거될 수 있다.
자기 조립된 중합체 재료(30)의 적용 실시예는 식각 마스크로서 기판(10)에 개구를 형성하는 것이다. 반원통(36)과 매트릭스(38)로 중합체 블록의 어닐링 및 자기 조립, 및 우선 습윤 재료(30)의 제거 후에, 조립된 중합체 재료(32)는 기판(10)에 개구를 형성하기 위하여 식각 마스크로서 사용될 수 있는 구조를 형성하도록 처리될 수 있다.
본 발명의 몇몇 실시예에 있어서, 부 블록(예컨대, PVP)으로 구성되는 표면 습윤층(36as; 도 7a, 7c)은 자기 조립된 중합체 재료(32)의 매트릭스(38)를 노출시키도록 선택적으로 제거될 수 있다. 예컨대, PVP의 표면 습윤층(36as)은, 예컨대 산소, 탄화불소 또는 아르곤 플라즈마를 이용하는 RIE 공정에 의해 제거될 수 있다.
중합체 도메인들 중 하나가 무기 종(예컨대, Si, Fe 등)을 포함하는 본 발명의 실시예에 있어서, UV 오존화 또는 산소 플라즈마 식각 등의 산화 공정은 유기 재료(즉, 중합체 도메인)를 제거하여 무기 종을 비휘발성 무기 산화물, 예컨대 실리콘 산화물(SiOx), 철 산화물(FexOy) 등으로 전환시키도록 수행될 수 있고, 비휘발성 무기 산화물은 기판 상에 남아 다음의 식각 공정에서 마스크로서 사용될 수 있다.
예컨대, 도 9-9b에 도시된 바와 같이, 블록 공중합체 재료(26)가 Si 및/또는 Fe 함유 첨가제와 결합되는 PS-b-PVP로 구성되는 도시된 실시예에 있어서, Si 및/또는 Fe 종은 PVP 반원통(36)과 습윤층(36a)으로 분리된다. 산화 공정(화살표 ↓↓)은 반원통(36)[및 중립 습윤층(12)]의 PVP 중합체 성분과 PS 매트릭스(38)를 모두 제거하여 반원통 내의 Si 및/또는 Fe 종을 무기 산화물, 예컨대 SiOx 및/또는 FexOy로 전환시키도록 수행될 수 있고, 그 결과 기판(10) 상에 비휘발성의 무기 산화물 라인(40)이 생성된다.
다른 실시예에서, 자기 조립된 중합체 재료(32)의 매트릭스 도메인(38)은 반원통 라인(36)에 대해 선택적으로 제거될 수 있고, 반원통 라인은 트렌치 바닥에서 노출된 기판(10)을 식각하도록 마스크로서 사용될 수 있다. 예컨대, PS-b-PMMA 블록 공중합체를 사용할 때, PMMA 도메인은 UV 노출/아세트산 현상에 의해 또는 선택적 반응 이온 식각(RIE)에 의해 선택적으로 제거될 수 있고, 이어서 나머지 PS 도메인은 기판을 식각하도록 마스크로서 사용될 수 있다.
다음에, 산화물 라인(40)은 도 10 내지 10b에 도시된 바와 같이, 예컨대 이방성 선택적 반응 이온 식각(RIE) 공정을 이용하여 기판의 라인 개구(42; 예컨대 트렌치)를 식각하도록 마스크로서 사용될 수 있다.
이어서, 원한다면 추가의 처리가 수행될 수 있다. 예컨대, 도 11 내지 11b에 도시된 바와 같이, 잔류 산화물 라인(40)은, 예컨대 불화물계 식각액을 이용하여 제거될 수 있고, 기판 개구(42)에는 도전성 라인을 형성하도록, 무엇보다도, 금속 또는 Cu, Al, W, Si 및 Ti3N4 등의 금속 합금과 같은 재료(44)로 또는 SiO2, Al2O3, HfO2, ZrO2, SrTiO3 등과 같은 절연 재료로 채워질 수 있다.
이제 도 12를 참조하면, 본 발명의 다른 실시예에 있어서, 공중합체 재료(26')의 블록들 중 하나에 대해 우선적으로 습윤되는 트렌치에서 블록 공중합체 재료(26')의 표면(28')에 공기 계면을 형성하도록 분위기(46')가 적용될 수 있다.
몇몇 실시예에 있어서, 우선적으로 습윤되는 분위기는 보다 낮은 표면 장력을 갖는 중합체 블록을 우선적으로 습윤시키도록 깨끗한 건조 공기로 구성될 수 있다. 예컨대, PS-b-PVP와 PS-b-PEO의 사용 중에, PS 블록은 상대적으로 더 낮은 표면 장력을 가지며 깨끗한 건조 공기 분위기를 우선적으로 습윤시킨다. PS-b-PDMS의 사용시, PDMS 블록은 보다 낮은 표면 장력을 가지며 깨끗한 건조 공기 분위기를 우선적으로 습윤시킨다. 다른 실시예에 있어서, (예컨대, PS-b-PEO를 이용하여) PS 위의 PEO를 우선적으로 습윤시키기 위해 습한 분위기(공기)가 적용될 수 있거나, 또는 (예컨대, PS-b-PVP를 이용하여) PS 위의 PVP를 우선적으로 습윤시키기 위해 근사 포화된 용제 분위기(예컨대, 에탄올, 디메틸포름아미드(DMF) 등)가 기상으로서 적용될 수 있다.
그 후 우선 습윤 분위기(46')의 존재하에 블록 공중합체 재료(26')의 어닐링은 트렌치 표면의 우선 및 중립 습윤과 공기 계면에서 위에 있는 분위기(46')의 우선 습윤에 반응하여 중합체 블록이 상 분리되어 도 13 내지 도 13b에 도시된 바와 같이 자기 조립된 중합체 재료(32')를 형성하도록 수행될 수 있다. 트렌치(16', 16a')의 폭(wt), 중합체 블록들 양쪽 모두에 대해 중립 습윤되는 바닥(24') 및 부 블록에 우선 습윤되는 측벽(20')과 공기 계면에 의해 제공되는 제약에 반응하여, 원통상 블록 공중합체 조성[예컨대, 70:30 PS-b-PVP(고유 피치≒L)]은, 부 (선호) 블록(예컨대, PVP)가 주 중합체 블록(예컨대, PS)의 위에 있는 매트릭스(38')에 의해 둘러싸이는 트렌치 바닥의 중립 습윤 재료(12') 상에 평행하게 배향된 하향 반원통 도메인(36')을 형성하도록 자기 조립된다. 또한, 부 (선호) 블록(예컨대, PVP)은 (예컨대, 근사 포화된 용제 분위기를 이용하여) 부 블록에 대해 우선적으로 습윤되는 트렌치(16', 16a')의 측벽(20')과 단부(22') 및 공기 계면으로 분리되어 이들을 습윤시켜서 얇은 계면 또는 (측벽 상의) 습윤층(36a') 및 (공기 계면에서)(예컨대, 약 0.25*L의 두께로) 습윤층(36as')을 형성한다. 다른 예로서, 원통상 PS-b-PDMS의 사용 중에, PDMS 반원통(26')은 위에 있는 PS 매트릭스(38') 내에서 중립 습윤 재료(12') 상에 조립되고, PDMS는 트렌치 측벽(20')과 단부(22') 상에 브러시층(26a')을 그리고 PDMS를 우선적으로 습윤시키는 깨끗한 건조 공기 분위기와의 계면에서 브러시층(26as')을 형성한다.
어닐링에 이어서, 중합체 재료는 전술한 바와 같이 선택적으로 가교될 수 있다. 몇몇 실시예에 있어서, 공기 계면(예컨대, 부 블록, PVP)에서의 표면 습윤층(36a's)은 예컨대 RIE 공정에 의해 아래에 있는 매트릭스(38')를 노출시키도록 선택적으로 제거될 수 있다. 이어서, 자기 조립된 중합체 재료는 예컨대 마스킹 재료를 형성하여 아래에 놓이는 기판(10')을 식각하도록 원한다면 처리될 수 있다.
본 발명의 실시예는 그래포에피택시를 통해 신속하게 조립되고 넓은 트렌치의 큰 영역에 걸쳐 결점이 없는 평행한 라인의 구조를 형성하는 방법을 제공한다. 원통 형성용 블록 공중합체로부터 형성되는 구조는 층상 형성용 블록 공중합체보다 상당히 빠르게 제조될 수 있고, 식각 중에 원통 아래의 매트릭스의 언더커팅으로 인해 주 블록 매트릭스에 완전히 현수되는 부 블록 원통들의 어레이에 비해 식각 마스크로서 사용될 때 아래에 놓이는 기판에 대해 개선된 패턴 전사를 갖는다. 방법은 또한 전자빔 리소그래피, EUV 포토리소그래피 또는 종래의 포토리소그래피에 의한 것보다 더 저렴하게 마련될 수 있는 나노미터 크기의 정렬되고 정합된 요소를 제공한다. 본 발명에 의해 제조되고 이용될 수 있는 피처 크기는 종래의 포토리소그래피에 의해 쉽게 마련될 수 없다. 설명한 방법 및 시스템은 기존의 반도체 제조 공정 플로우에 쉽게 채용되고 통합될 수 있고 작은 구조를 제조하는 저렴하고 높은 처리량의 기법을 제공한다.
구체적인 실시예를 본 명세서에 예시 및 설명하였지만, 당업자라면 동일한 목적을 달성하도록 계산되는 임의의 배열이 도시된 구체적인 실시예를 위해 대체될 수 있음을 알 것이다. 본 출원은 설명한 본 발명의 원리에 따라 작동하는 임의의 개조 또는 변경을 포함하도록 의도된다. 따라서, 본 발명은 청구범위 및 그 균등범위에 의해서만 제한되는 것으로 의도된다. 본 출원에 인용된 특허, 참조 문헌 및 공보의 개시는 본 명세서에 참조로 합체된다.

Claims (35)

  1. 기판 상에 나노구조의 중합체 재료를 형성하는 방법으로서,
    상기 기판 상의 재료층에 있는 트렌치 내에 자기 조립 블록 공중합체 재료를 형성하는 단계 ― 상기 트렌치는 길이, 중립 습윤 바닥, 및 상기 블록 공중합체 재료의 부(minority) 블록에 대해 우선적으로 습윤되는 대향하는 측벽들 및 단부들을 가짐 ―;
    상기 트렌치 내의 상기 블록 공중합체 재료와 접촉하여 상기 블록 공중합체 재료 위에 상기 부 블록에 대해 우선적으로 습윤되는 재료 또는 분위기를 가하는 단계; 및
    상기 블록 공중합체 재료의 주(majority) 블록의 매트릭스 내에서 상기 블록 공중합체 재료의 상기 부 블록의 반원통 도메인들의 하나 이상의 로우로 상기 블록 공중합체 재료를 자기 조립하도록 상기 블록 공중합체 재료를 어닐링하는 단계 ― 상기 반원통 도메인들의 하나 이상의 로우는 상기 트렌치 바닥에 대해 평행하게 배향되고 상기 트렌치의 길이로 연장되며, 상기 중립 습윤 트렌치 바닥을 향해 배향되는 면을 갖고 상기 중립 습윤 트렌치 바닥을 습윤시킴 ―
    를 포함하는 나노구조의 중합체 재료 형성 방법.
  2. 제1항에 있어서, 상기 부 블록에 대해 우선적으로 습윤되는 재료 또는 분위기를 가하는 단계는 상기 트렌치 내의 상기 블록 공중합체 재료를 엘라스토머 재료와 접촉시킴으로써 우선 습윤 재료를 가하는 단계를 포함하는 나노구조의 중합체 재료 형성 방법.
  3. 제1항에 있어서, 상기 부 블록에 대해 우선적으로 습윤되는 재료 또는 분위기를 가하는 단계는 상기 트렌치 내에서 상기 블록 공중합체 재료 위에 무기 재료를 퇴적함으로써 우선 습윤 재료를 가하는 단계를 포함하는 나노구조의 중합체 재료 형성 방법.
  4. 제1항에 있어서, 상기 우선 습윤 재료를 제거하여 상기 트렌치 내의 상기 어닐링된 블록 공중합체 재료를 노출시키는 단계를 더 포함하는 나노구조의 중합체 재료 형성 방법.
  5. 제1항에 있어서, 상기 블록 공중합체 재료를 어닐링하는 단계는, 상기 블록 공중합체 재료를 자기 조립하여 상기 트렌치의 상기 측벽들 및 단부들 상에 상기 부 블록의 계면 습윤(interface wetting) 재료와, 상기 우선적으로 습윤되는 재료 또는 분위기 위에 있는 상기 부 블록의 위에 있는 습윤 재료를 형성하는 단계를 포함하고,
    상기 방법은, 상기 부 블록의 상기 위에 있는 습윤 재료를 제거하는 단계를 더 포함하는 나노구조의 중합체 재료 형성 방법.
  6. 제4항 또는 제5항에 있어서, 상기 주 블록을 선택적으로 제거하여 상기 기판을 노출시키는 단계를 더 포함하고, 상기 반원통 도메인들의 하나 이상의 로우 중 적어도 일부는 상기 기판 상에 하나 이상의 연속적인 라인으로서 남아 있는 나노구조의 중합체 재료 형성 방법.
  7. 제6항에 있어서, 상기 부 블록은 금속을 포함하며, 상기 방법은, 상기 부 블록을 제거하고 상기 기판 상의 라인으로서 상기 금속을 무기 금속 재료로 형성하는 단계를 더 포함하는 나노구조의 중합체 재료 형성 방법.
  8. 제6항에 있어서, 상기 기판의 노출된 일부분들을 식각하여 그 안에 트렌치를 형성하는 단계를 더 포함하는 나노구조의 중합체 재료 형성 방법.
  9. 제8항에 있어서, 상기 트렌치를 충전재로 채우는 단계를 더 포함하는 나노구조의 중합체 재료 형성 방법.
  10. 기판 위에 있는 재료층의 트렌치 내에 배치되는 중합체 재료로서,
    상기 트렌치는 측벽들, 단부들, 바닥, 폭 및 길이를 가지며, 상기 중합체 재료는 주 블록의 매트릭스 내에 부 블록의 반원통 도메인들의 하나 이상의 로우를 포함하는 자기 조립된 블록 공중합체 재료를 포함하며, 상기 반원통 도메인들의 하나 이상의 로우는, 상기 트렌치 바닥에 대해 평행하게 배향되고 상기 트렌치의 길이로 연장되며, 상기 트렌치 바닥 상에 배치되는 면을 갖는 중합체 재료.
  11. 제10항에 있어서, 상기 부 블록은 금속을 선택적으로 포함하는 중합체 재료.
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
KR1020107027059A 2008-05-02 2009-04-20 기판 상에 형성된 반원통 어레이의 그래포에피택셜 자기 조립 KR101284422B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/114,173 US8114301B2 (en) 2008-05-02 2008-05-02 Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US12/114,173 2008-05-02
PCT/US2009/041125 WO2009134635A2 (en) 2008-05-02 2009-04-20 Graphoepitaxial self-assembly of arrays of downward facing half-cylinders

Publications (2)

Publication Number Publication Date
KR20110007612A KR20110007612A (ko) 2011-01-24
KR101284422B1 true KR101284422B1 (ko) 2013-07-09

Family

ID=41255682

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107027059A KR101284422B1 (ko) 2008-05-02 2009-04-20 기판 상에 형성된 반원통 어레이의 그래포에피택셜 자기 조립

Country Status (7)

Country Link
US (4) US8114301B2 (ko)
EP (1) EP2276690B1 (ko)
JP (1) JP5435438B2 (ko)
KR (1) KR101284422B1 (ko)
CN (1) CN102015524B (ko)
TW (1) TWI375659B (ko)
WO (1) WO2009134635A2 (ko)

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8168284B2 (en) 2005-10-06 2012-05-01 Wisconsin Alumni Research Foundation Fabrication of complex three-dimensional structures based on directed assembly of self-assembling materials on activated two-dimensional templates
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US9183870B2 (en) 2007-12-07 2015-11-10 Wisconsin Alumni Research Foundation Density multiplication and improved lithography by directed block copolymer assembly
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) * 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8563086B2 (en) 2009-07-22 2013-10-22 Korea Institute Research and Business Foundation Nano pattern formation
US8592732B2 (en) 2009-08-27 2013-11-26 Korea University Research And Business Foundation Resistive heating device for fabrication of nanostructures
WO2011104045A1 (en) * 2010-02-26 2011-09-01 Asml Netherlands B.V. Method and apparatus for treatment of self-assemblable polymer layers for use in lithography
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
CN102983065B (zh) * 2011-09-06 2015-12-16 中芯国际集成电路制造(北京)有限公司 图案、掩模图案形成方法和半导体器件制造方法
US9718250B2 (en) 2011-09-15 2017-08-01 Wisconsin Alumni Research Foundation Directed assembly of block copolymer films between a chemically patterned surface and a second surface
JP6138137B2 (ja) * 2011-10-03 2017-05-31 エーエスエムエル ネザーランズ ビー.ブイ. 自己組織化可能な重合体のためのパターン付配向テンプレートを提供する方法
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
EP2594995A1 (en) * 2011-11-16 2013-05-22 University College Cork A method for providing a nanopattern of metal oxide nanostructures on a substrate
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8961918B2 (en) * 2012-02-10 2015-02-24 Rohm And Haas Electronic Materials Llc Thermal annealing process
US8710150B2 (en) * 2012-02-10 2014-04-29 Rohm And Haas Electronic Materials Llc Blended block copolymer composition
JP6228932B2 (ja) * 2012-02-10 2017-11-08 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム ナノリソグラフィのためのポリ乳酸/ケイ素含有ブロックコポリマー
US9372398B2 (en) * 2012-03-02 2016-06-21 Wisconsin Alumni Research Foundation Patterning in the directed assembly of block copolymers using triblock or multiblock copolymers
US8686109B2 (en) * 2012-03-09 2014-04-01 Az Electronic Materials (Luxembourg) S.A.R.L. Methods and materials for removing metals in block copolymers
US10099437B2 (en) 2012-06-05 2018-10-16 The University Of Akron Fabrication of directionally oriented block copolymer films
US20140010990A1 (en) * 2012-07-06 2014-01-09 Wisconsin Alumni Research Foundation Directed assembly of poly (styrene-b-glycolic acid) block copolymer films
US8821739B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc High temperature thermal annealing process
US8821738B2 (en) * 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc Thermal annealing process
JP2014027228A (ja) * 2012-07-30 2014-02-06 Tokyo Electron Ltd 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
KR20140087904A (ko) * 2012-12-31 2014-07-09 삼성전자주식회사 블록 코폴리머 층의 패턴 형성 방법
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법
US9050621B2 (en) 2013-01-24 2015-06-09 Corning Incorporated Surface nanofabrication methods using self-assembled polymer nanomasks
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
US9368366B2 (en) 2013-02-14 2016-06-14 Asml Netherlands B.V. Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
JP5758422B2 (ja) 2013-02-19 2015-08-05 株式会社東芝 パターン形成方法
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
TWI658055B (zh) * 2013-06-19 2019-05-01 德州大學董事會 用於薄膜嵌段共聚物之定向控制之酸酐共聚物面塗層
JP6232226B2 (ja) * 2013-08-09 2017-11-15 東京応化工業株式会社 相分離構造を含む構造体の製造方法
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9053923B2 (en) * 2013-11-05 2015-06-09 GlobalFoundries, Inc. Methods for fabricating integrated circuits including topographical features for directed self-assembly
KR102166523B1 (ko) * 2013-12-02 2020-10-16 에스케이하이닉스 주식회사 나노 스케일 형상 구조 및 형성 방법
US9184058B2 (en) * 2013-12-23 2015-11-10 Micron Technology, Inc. Methods of forming patterns by using a brush layer and masks
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
FR3021321B1 (fr) * 2014-05-26 2016-06-03 Commissariat Energie Atomique Procede de realisation de motifs par auto-assemblage de copolymeres a blocs
US10739673B2 (en) 2014-06-20 2020-08-11 Taiwan Semiconductor Manufacturing Company Limited Preparing patterned neutral layers and structures prepared using the same
JP6173989B2 (ja) * 2014-08-29 2017-08-02 東芝メモリ株式会社 パターン形成方法
KR102302704B1 (ko) 2014-09-02 2021-09-15 삼성전자주식회사 마스크용 패턴 구조물, 이를 이용한 홀 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9530662B2 (en) 2015-02-25 2016-12-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits using directed self-assembly including a substantially periodic array of topographical features that includes etch resistant topographical features for transferability control
TWI567487B (zh) 2015-04-15 2017-01-21 國立清華大學 薄膜圖案形成方法
KR102350587B1 (ko) 2015-04-23 2022-01-14 삼성전자 주식회사 미세 패턴 형성 방법
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9574104B1 (en) * 2015-10-16 2017-02-21 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions and processes for self-assembly of block copolymers
KR102508525B1 (ko) 2015-10-19 2023-03-09 삼성전자주식회사 블록 코폴리머 및 이를 이용한 집적회로 소자의 제조 방법
CN108701587B (zh) * 2016-01-28 2023-04-21 东京毅力科创株式会社 旋涂沉积金属氧化物的方法
WO2017138440A1 (ja) * 2016-02-08 2017-08-17 Jsr株式会社 コンタクトホールパターンの形成方法及び組成物
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) * 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
FR3051965A1 (fr) 2016-05-27 2017-12-01 Commissariat Energie Atomique Procede de formation d’un motif de guidage fonctionnalise pour un procede de grapho-epitaxie
FR3051964B1 (fr) * 2016-05-27 2018-11-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation d’un motif de guidage fonctionnalise pour un procede de grapho-epitaxie
FR3051966B1 (fr) 2016-05-27 2018-11-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation d’un motif de guidage fonctionnalise pour un procede de grapho-epitaxie
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR102512186B1 (ko) * 2016-12-22 2023-03-20 일루미나, 인코포레이티드 수지 필름 및 패턴화된 중합체층을 포함하는 어레이
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP7437161B2 (ja) 2018-06-29 2024-02-22 イラミーナ インコーポレーテッド フローセル
US11282710B2 (en) * 2018-08-27 2022-03-22 Versum Materials Us, Llc Selective deposition on silicon containing surfaces
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11428009B2 (en) 2019-09-30 2022-08-30 Bmic Llc Self-sealing roof fastener
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
WO2021146200A1 (en) * 2020-01-13 2021-07-22 Building Materials Investment Corporation Impact resistant roofing systems and methods
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030091752A1 (en) 2001-10-05 2003-05-15 Nealey Paul F. Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20080083991A1 (en) 2006-10-04 2008-04-10 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same

Family Cites Families (301)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4623674A (en) 1985-06-21 1986-11-18 Union Carbide Corporation Polymer/polyols of substituted styrenes and polyurethanes made therefrom
US4877647A (en) 1986-04-17 1989-10-31 Kansas State University Research Foundation Method of coating substrates with solvated clusters of metal particles
US4797357A (en) 1986-05-30 1989-01-10 Eastman Kodak Company Light-stable reducible compounds and analytical compositions, elements and methods utilizing same
US4818713A (en) 1987-10-20 1989-04-04 American Telephone And Telegraph Company, At&T Bell Laboratories Techniques useful in fabricating semiconductor devices having submicron features
WO1990007575A1 (en) 1988-12-30 1990-07-12 Anderson David M Stabilized microporous materials and hydrogel materials
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5354489A (en) 1990-08-30 1994-10-11 Asahi Kasei Kogyo Kabushiki Kaisha Method for changing the viscosity of a fluid comprising a liquid crystal compound
US5622668A (en) 1992-02-07 1997-04-22 The United States Of America As Represented By The Secretary Of The Air Force Method for preparing oriented polymer structures and said structures
EP0588482B1 (en) 1992-08-07 1997-11-05 Fujikura Kasei Co., Ltd. Electro-sensitive composition
US5382373A (en) 1992-10-30 1995-01-17 Lord Corporation Magnetorheological materials based on alloy particles
WO1994012912A1 (en) 1992-11-25 1994-06-09 Hoechst Celanese Corporation Metal ion reduction in bottom anti-reflective coatings for photoresists
US5482656A (en) 1993-03-04 1996-01-09 Kabushiki Kaisha Toshiba Non-linear optical devices employing a polysilane composition and a polysilane composition therefor
TW272976B (ko) 1993-08-06 1996-03-21 Ciba Geigy Ag
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5538655A (en) 1994-06-29 1996-07-23 Arthur D. Little, Inc. Molecular complexes for use as electrolyte components
US5607824A (en) 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH0867893A (ja) 1994-08-19 1996-03-12 Lubrizol Corp:The 極性固体および有機半導体の電気流動性流体
US5620850A (en) 1994-09-26 1997-04-15 President And Fellows Of Harvard College Molecular recognition at surfaces derivatized with self-assembled monolayers
US5700902A (en) 1995-07-27 1997-12-23 Circe Biomedical, Inc. Block copolymers
DE69516528T2 (de) 1995-08-04 2000-11-23 Ibm Lithografie oder dünnschicht modifizierung
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5879853A (en) 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US6096636A (en) 1996-02-06 2000-08-01 Micron Technology, Inc. Methods of forming conductive lines
EP1124158A1 (en) 1996-02-26 2001-08-16 Matsushita Electric Industrial Co., Ltd. Pattern forming material and pattern forming method
US6190949B1 (en) 1996-05-22 2001-02-20 Sony Corporation Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof
US6143647A (en) 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
JPH1081889A (ja) 1996-09-06 1998-03-31 Bridgestone Corp 電気粘性流体用粉体
US5904824A (en) 1997-03-07 1999-05-18 Beckman Instruments, Inc. Microfluidic electrophoresis device
US5958704A (en) 1997-03-12 1999-09-28 Ddx, Inc. Sensing system for specific substance and molecule detection
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6890624B1 (en) 2000-04-25 2005-05-10 Nanogram Corporation Self-assembled structures
US6368871B1 (en) 1997-08-13 2002-04-09 Cepheid Non-planar microstructures for manipulation of fluid samples
JP3321392B2 (ja) 1997-08-29 2002-09-03 科学技術振興事業団 二重構造連続多孔体とその製造方法
US6884842B2 (en) 1997-10-14 2005-04-26 Alnis Biosciences, Inc. Molecular compounds having complementary surfaces to targets
ATE275600T1 (de) 1997-12-09 2004-09-15 Sba Materials Inc Blockcopolymerverarbeitung für mesostrukturierte anorganische oxidmaterialien
US6111323A (en) 1997-12-30 2000-08-29 International Business Machines Corporation Reworkable thermoplastic encapsulant
DK1053019T3 (da) 1998-01-07 2004-04-13 Debio Rech Pharma Sa Nedbrydelige heterobifunktionelle polyethylenglycolacrylater og geler og konjugater afledt derfra
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
AU742976B2 (en) 1998-03-18 2002-01-17 University Of Rochester Macromolecular self-assembly of microstructures, nanostructures, objects and mesoporous solids
US7282240B1 (en) 1998-04-21 2007-10-16 President And Fellows Of Harvard College Elastomeric mask and use in fabrication of devices
JP3464004B2 (ja) 1998-06-05 2003-11-05 旭化成株式会社 水素添加ブロック共重合体及びそれを含有したポリプロピレン系樹脂組成物
US7074498B2 (en) 2002-03-22 2006-07-11 Borealis Technical Limited Influence of surface geometry on metal properties
AU4967499A (en) * 1998-07-02 2000-01-24 Massachusetts Institute Of Technology Periodic porous and relief nanostructured articles
US6897073B2 (en) 1998-07-14 2005-05-24 Zyomyx, Inc. Non-specific binding resistant protein arrays and methods for making the same
US6423410B1 (en) 1998-09-04 2002-07-23 Mds Proteomics, Inc. Ultrasonically generated paramagnetic polymer particles
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
KR100699712B1 (ko) 1998-11-24 2007-03-27 다우 글로벌 테크놀로지스 인크. 가교결합성 매트릭스 전구체와 포라겐을 함유하는 조성물및 이로부터 제조된 다공성 매트릭스
US6403382B1 (en) 1998-12-08 2002-06-11 Regents Of The University Of Minnesota Attachment chemistry for organic molecules to silicon
US6413587B1 (en) 1999-03-02 2002-07-02 International Business Machines Corporation Method for forming polymer brush pattern on a substrate surface
US6270946B1 (en) 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
JP4012173B2 (ja) 1999-06-07 2007-11-21 株式会社東芝 多孔質構造体の製造方法、多孔質構造体形成材料、パターン形成方法、パターン形成材料、電気化学セル、および中空糸フィルター
JP4127682B2 (ja) 1999-06-07 2008-07-30 株式会社東芝 パターン形成方法
JP3940546B2 (ja) 1999-06-07 2007-07-04 株式会社東芝 パターン形成方法およびパターン形成材料
DE60044972D1 (de) 1999-07-02 2010-10-28 Harvard College Nanoskopischen draht enthaltende anordnung, logisc
US6251791B1 (en) 1999-07-20 2001-06-26 United Microelectronics Corp. Eliminating etching microloading effect by in situ deposition and etching
US6312971B1 (en) 1999-08-31 2001-11-06 E Ink Corporation Solvent annealing process for forming a thin semiconductor film with advantageous properties
JP2001110801A (ja) 1999-10-05 2001-04-20 Takeshi Yao パターン形成方法、並びに電子素子、光学素子及び回路基板
US6423531B1 (en) * 1999-11-17 2002-07-23 Geovation Technologies, Inc. Advanced organic-inorganic solid-chemical composition and methods for anaerobic bioremediation
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6517933B1 (en) 2000-01-18 2003-02-11 Nano-Tex, Llc Hybrid polymer materials
US6423465B1 (en) 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6284657B1 (en) 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US7163712B2 (en) 2000-03-03 2007-01-16 Duke University Microstamping activated polymer surfaces
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
CA2404296A1 (en) 2000-03-22 2001-09-27 University Of Massachusetts Nanocylinder arrays
US6887332B1 (en) 2000-04-21 2005-05-03 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7491286B2 (en) 2000-04-21 2009-02-17 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7291284B2 (en) 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
US6503841B1 (en) 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6414164B1 (en) 2000-07-12 2002-07-02 International Business Machines Corporation Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors
WO2002018080A1 (fr) 2000-08-03 2002-03-07 Upepo & Maji Inc. Composition de solution colloidale metallique et conducteur ou encre destine a la formation d'un motif semi-conducteur la renfermant, et procede de formation d'un motif conducteur ou semi-conducteur
JP3591827B2 (ja) 2000-08-11 2004-11-24 株式会社東芝 微細構造を有する成形体の製造方法
JP2002083949A (ja) 2000-09-07 2002-03-22 Nec Corp Cmosイメージセンサ及びその製造方法
US20020084429A1 (en) 2000-10-17 2002-07-04 Craighead Harold G. Electron-beam patterning of functionalized self-assembled monolayers
US6952436B2 (en) 2000-11-14 2005-10-04 Regents Of The University Of California Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications
US6358813B1 (en) 2000-11-15 2002-03-19 International Business Machines Corporation Method for increasing the capacitance of a semiconductor capacitors
NL1016779C2 (nl) 2000-12-02 2002-06-04 Cornelis Johannes Maria V Rijn Matrijs, werkwijze voor het vervaardigen van precisieproducten met behulp van een matrijs, alsmede precisieproducten, in het bijzonder microzeven en membraanfilters, vervaardigd met een dergelijke matrijs.
US6432811B1 (en) 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
FR2818650B1 (fr) 2000-12-21 2003-02-07 Atofina Procede d'hydrogenation de copolymeres a blocs insatures et copolymeres a blocs hydrogenes
US6518194B2 (en) 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
US7205161B2 (en) 2001-01-10 2007-04-17 Symyx Technologies, Inc. Polymer brushes for immobilizing molecules to a surface or substrate having improved stability
US6566248B1 (en) 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
US6913697B2 (en) 2001-02-14 2005-07-05 Science & Technology Corporation @ Unm Nanostructured separation and analysis devices for biological membranes
EP1374310A4 (en) 2001-03-14 2008-02-20 Univ Massachusetts NANOFABRICATION
US6537920B1 (en) 2001-03-16 2003-03-25 Advanced Micro Devices, Inc. Formation of vertical transistors using block copolymer lithography
US6817293B2 (en) 2001-03-28 2004-11-16 Dainippon Printing Co., Ltd. Patterning method with micro-contact printing and its printed product
EP1399487A4 (en) 2001-03-30 2005-08-17 Uab Research Foundation PREPARATION OF POLYMERS IN ROOM TEMPERATURE LIQUID IONIC LIQUIDS
ATE470647T1 (de) 2001-04-06 2010-06-15 Univ Carnegie Mellon Verfahren zur herstellung von nanostrukturierten materialien
US20050120902A1 (en) 2001-04-25 2005-06-09 David Adams Edge transfer lithography
US20020158432A1 (en) 2001-04-30 2002-10-31 Wain Amir Waheed Infocart
US6809210B2 (en) 2001-06-12 2004-10-26 Lucent Technologies Inc. Method of solvating a metal in an aromatic organic liquid
KR100448170B1 (ko) 2001-06-23 2004-09-10 주식회사 태평양 폴리에틸렌이민을 친수성 블록으로 갖고 폴리에스테르계고분자를 소수성 블록으로 갖는 양친성 생분해성 블록공중합체 및 이를 이용한 수용액 상에서의 고분자자기조합 회합체
WO2003007397A2 (en) 2001-07-09 2003-01-23 Plastic Logic Limited Solution influenced alignment
US6444318B1 (en) 2001-07-17 2002-09-03 Surmodics, Inc. Self assembling monolayer compositions
DE10142691B4 (de) 2001-08-31 2006-04-20 Infineon Technologies Ag Verfahren zum Nachweis biochemischer Reaktionen sowie eine Vorrichtung hierfür
US6751491B2 (en) 2001-09-01 2004-06-15 M Biotech Inc Analyte measuring biosensor chip using image scanning system
DE10145747A1 (de) 2001-09-17 2003-04-03 Solvent Innovation Gmbh Ionische Flüssigkeiten
US20030108664A1 (en) 2001-10-05 2003-06-12 Kodas Toivo T. Methods and compositions for the formation of recessed electrical features on a substrate
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US20040058059A1 (en) 2001-11-07 2004-03-25 Linford Mathew Richard Funtionalized patterned surfaces
EP1446356A4 (en) 2001-11-21 2005-04-06 Univ Massachusetts MESOPOROUS MATERIALS AND METHOD
JP3967114B2 (ja) * 2001-11-22 2007-08-29 株式会社東芝 加工方法
US7087267B2 (en) 2001-11-29 2006-08-08 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
JP3782357B2 (ja) 2002-01-18 2006-06-07 株式会社東芝 半導体発光素子の製造方法
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US6958572B2 (en) 2002-02-06 2005-10-25 Ut-Battelle Llc Controlled non-normal alignment of catalytically grown nanostructures in a large-scale synthesis process
CA2475790A1 (en) 2002-02-11 2003-08-21 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US6890703B2 (en) 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US6946332B2 (en) 2002-03-15 2005-09-20 Lucent Technologies Inc. Forming nanoscale patterned thin film metal layers
US7807348B2 (en) 2002-03-20 2010-10-05 Wisconsin Alumni Research Foundation Optical imaging of nanostructured substrates
US20030178707A1 (en) 2002-03-21 2003-09-25 Abbott Donald C. Preplated stamped small outline no-lead leadframes having etched profiles
US6765030B2 (en) 2002-03-22 2004-07-20 The University Of North Carolina At Chapel Hill Methods of forming polymeric structures using carbon dioxide and polymeric structures formed therapy
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
JP2004005923A (ja) 2002-03-29 2004-01-08 Fujitsu Ltd 磁気ヘッドの製造方法および磁気ヘッド、パターン形成方法
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US6656308B2 (en) 2002-04-22 2003-12-02 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7135241B2 (en) 2002-05-24 2006-11-14 Board Of Regents, The University Of Texas System Light-emitting block copolymers composition, process and use
US7307343B2 (en) 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US20030235930A1 (en) 2002-06-25 2003-12-25 Lucent Technologies Inc. Multi-impression nanofeature production
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7311943B2 (en) 2002-07-17 2007-12-25 Massachusetts Institute Of Technology Templated monolayer polymerization and replication
US20050008828A1 (en) 2002-07-25 2005-01-13 Trustees Of Stevens Institute Of Technology Patterned polymer microgel and method of forming same
US6767693B1 (en) 2002-07-30 2004-07-27 Advanced Micro Devices, Inc. Materials and methods for sub-lithographic patterning of contact, via, and trench structures in integrated circuit devices
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
EP1387169B1 (en) 2002-08-02 2006-05-24 Sony Deutschland GmbH Method of attaching hydrophilic species to hydrophilic macromolecules and immobilizing the hydrophilic macromolecules on a hydrophobic surface
EP2233564A3 (en) 2002-10-30 2012-11-21 Hitachi, Ltd. Cell culture sheet comprising a functional substrate with a group of columnar micro-pillars and its manufacturing method
US20040084298A1 (en) 2002-10-31 2004-05-06 Y.D. Yao Fabrication of nanocomposite thin films for high density magnetic recording media
TW584670B (en) 2002-10-31 2004-04-21 Academia Sinica Fabrication of nanocomposite thin films for high density magnetic recording media
US6949456B2 (en) 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
WO2004044023A1 (fr) 2002-11-07 2004-05-27 Rhodia Chimie Copolymere a structure controlee presentant une partie amphotere ou zwitterionique.
US6699797B1 (en) 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6930034B2 (en) 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US7078276B1 (en) 2003-01-08 2006-07-18 Kovio, Inc. Nanoparticles and method for making the same
US6940485B2 (en) 2003-01-15 2005-09-06 Xerox Corporation Flexible micron-thin display device
DE602004027887D1 (de) 2003-02-12 2010-08-12 Nantero Inc Einrichtungen mit vertikal angeordneten nanofabric
TWI323479B (en) 2003-02-12 2010-04-11 Nantero Inc Devices having horizontally-disposed nanofabric articles and methods of making the same
US7066801B2 (en) 2003-02-21 2006-06-27 Dow Global Technologies, Inc. Method of manufacturing a fixed abrasive material
US7001795B2 (en) 2003-02-27 2006-02-21 Micron Technology, Inc. Total internal reflection (TIR) CMOS imager
TW582059B (en) 2003-03-11 2004-04-01 Ind Tech Res Inst Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
US7135523B2 (en) 2003-03-14 2006-11-14 Industrial Technology Research Institute Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers
US6812132B2 (en) 2003-03-21 2004-11-02 Intel Corporation Filling small dimension vias using supercritical carbon dioxide
KR100618184B1 (ko) 2003-03-31 2006-08-31 비오이 하이디스 테크놀로지 주식회사 결정화 방법
US7112617B2 (en) 2003-04-22 2006-09-26 International Business Machines Corporation Patterned substrate with hydrophilic/hydrophobic contrast, and method of use
JP2004335962A (ja) * 2003-05-12 2004-11-25 Seiko Epson Corp 薄膜パターン形成方法、デバイスとその製造方法及び電気光学装置並びに電子機器
US20060124467A1 (en) 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
EP1479738A1 (en) 2003-05-20 2004-11-24 DSM IP Assets B.V. Hydrophobic coatings comprising reactive nano-particles
US7632544B2 (en) 2003-05-20 2009-12-15 Industrial Technology Research Institute Nanopatterned templates from oriented degradable diblock copolymer thin films
US6989426B2 (en) 2003-06-12 2006-01-24 The Hong Kong Polytechnic University Methods for producing di-block polymers
US7009227B2 (en) 2003-06-16 2006-03-07 Micron Technology, Inc. Photodiode structure and image pixel structure
US7045851B2 (en) 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
GB2403847B (en) 2003-07-01 2005-11-16 Micron Technology Inc Optical channels for multi-level metal optical imagers and method for manufacturing same
US20050238889A1 (en) 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
US7132370B2 (en) 2003-08-01 2006-11-07 Interuniversitair Microelektronica Centrum (Imec) Method for selective removal of high-k material
GB0318817D0 (en) 2003-08-11 2003-09-10 Univ Cambridge Tech Method of making a polymer device
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US8133680B2 (en) 2003-09-23 2012-03-13 Wisconsin Alumni Research Foundation Using liquid crystals to detect affinity microcontact printed biomolecules
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
WO2005084175A2 (en) 2003-10-16 2005-09-15 The Regents Of The University Of California Nanostructures, nanogrooves, and nanowires
US7862849B2 (en) 2003-10-17 2011-01-04 Massachusetts Institute Of Technology Nanocontact printing
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
GB0325748D0 (en) 2003-11-05 2003-12-10 Koninkl Philips Electronics Nv A method of forming a patterned layer on a substrate
US7056757B2 (en) 2003-11-25 2006-06-06 Georgia Tech Research Corporation Methods of forming oxide masks with submicron openings and microstructures formed thereby
US20050133697A1 (en) 2003-12-23 2005-06-23 Potyrailo Radislav A. Sensor devices containing co-polymer substrates for analysis of chemical and biological species in water and air
US7423164B2 (en) 2003-12-31 2008-09-09 Ut-Battelle, Llc Synthesis of ionic liquids
US6989324B2 (en) 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7056849B2 (en) 2004-01-16 2006-06-06 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
DE102004006545B3 (de) 2004-02-10 2005-08-11 Infineon Technologies Ag Verfahren zum Aufweiten eines Grabens in einer Halbleiterstruktur
US7030495B2 (en) 2004-03-19 2006-04-18 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
CN100429142C (zh) 2004-03-24 2008-10-29 哈尔滨工业大学 面向纳米微加工嵌段共聚物模板自组装形态调控方法
US7015113B2 (en) 2004-04-01 2006-03-21 Micron Technology, Inc. Methods of forming trench isolation regions
US20060013956A1 (en) 2004-04-20 2006-01-19 Angelescu Dan E Method and apparatus for providing shear-induced alignment of nanostructure in thin films
CN102004393B (zh) 2004-04-27 2013-05-01 伊利诺伊大学评议会 用于软光刻法的复合构图设备
US7244665B2 (en) 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7625694B2 (en) 2004-05-06 2009-12-01 Micron Technology, Inc. Selective provision of a diblock copolymer material
TWI256110B (en) 2004-05-18 2006-06-01 Ind Tech Res Inst Nanopatterned templates from oriented degradable diblock copolymer thin films
WO2006076016A2 (en) 2004-05-21 2006-07-20 Krzysztof Matyjaszewski Conducting polymers
EP2650906A3 (en) 2004-06-04 2015-02-18 The Board of Trustees of the University of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
WO2006003594A2 (en) 2004-06-30 2006-01-12 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
EP1763704A2 (en) 2004-06-30 2007-03-21 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
JP4389055B2 (ja) 2004-07-27 2009-12-24 独立行政法人産業技術総合研究所 ブロック共重合体−クレイナノコンポジットの高配向膜およびその製造方法
US8088293B2 (en) 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
US20060030495A1 (en) 2004-08-06 2006-02-09 Gregg George L Jr Bullet lubrication formula
JP2006055982A (ja) 2004-08-23 2006-03-02 Ind Technol Res Inst 組織化分解ジブロックコポリマー薄膜からのナノパターン化テンプレート
KR20060020830A (ko) 2004-09-01 2006-03-07 삼성코닝 주식회사 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060057051A1 (en) 2004-09-10 2006-03-16 Sheng Dai Highly ordered porous carbon materials having well defined nanostructures and method of synthesis
US20060060863A1 (en) 2004-09-22 2006-03-23 Jennifer Lu System and method for controlling nanostructure growth
JP3926360B2 (ja) 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
US7196314B2 (en) 2004-11-09 2007-03-27 Omnivision Technologies, Inc. Image sensor and pixel having an anti-reflective coating over the photodiode
EP1657070B1 (en) 2004-11-10 2008-04-23 Sony Deutschland GmbH A stamp for soft lithography, in particular micro contact printing and a method of preparing the same
US7323387B2 (en) 2004-11-12 2008-01-29 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
JP5377857B2 (ja) 2004-11-22 2013-12-25 ウィスコンシン・アラムナイ・リサーチ・ファウンデーション 非周期的パターン共重合体フィルムのための方法及び組成
US20080032238A1 (en) 2004-11-23 2008-02-07 Lu Jennifer Q System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
US20060128165A1 (en) 2004-12-13 2006-06-15 3M Innovative Properties Company Method for patterning surface modification
US7666465B2 (en) 2004-12-29 2010-02-23 Intel Corporation Introducing nanotubes in trenches and structures formed thereby
US8178165B2 (en) 2005-01-21 2012-05-15 The Regents Of The University Of California Method for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
DE102005005325B4 (de) 2005-02-04 2011-12-15 Adesto Technology Corp., Inc. Verfahren zur Herstellung einer resistiv schaltenden nicht-flüchtigen Speicherzelle
US7341788B2 (en) 2005-03-11 2008-03-11 International Business Machines Corporation Materials having predefined morphologies and methods of formation thereof
US7514764B2 (en) 2005-03-23 2009-04-07 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
US7855046B2 (en) 2005-04-07 2010-12-21 The University Of North Carolina At Charlotte Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein
KR100634327B1 (ko) 2005-04-13 2006-10-13 한국기계연구원 롤-투-롤 윤전인쇄방식을 이용한 전자소자의 제조방법 및그 제조장치
US20060257633A1 (en) 2005-04-27 2006-11-16 Hitachi Maxell, Ltd. Method for modifying surface of polymer substrate, method for forming plated film on polymer substrate, method for producing polymer member, and coating member
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US7767129B2 (en) 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7371684B2 (en) 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US8399057B2 (en) 2005-06-08 2013-03-19 The Regents Of The University Of California Ordered vertically oriented porous inorganic films produced through solution processing
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
KR100668846B1 (ko) 2005-06-10 2007-01-16 주식회사 하이닉스반도체 상변환 기억 소자의 제조방법
JP5570721B2 (ja) 2005-06-17 2014-08-13 ザ ユニバーシティ オブ ノース カロライナ アット チャペル ヒル ナノ粒子の製造方法、システム、及び材料
US7771917B2 (en) 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
US7507618B2 (en) 2005-06-27 2009-03-24 3M Innovative Properties Company Method for making electronic devices using metal oxide nanoparticles
US7118784B1 (en) 2005-06-27 2006-10-10 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7306083B2 (en) 2005-07-27 2007-12-11 Gm Global Technology Operations, Inc. Magnetorheological fluid device
EP1909774A2 (en) 2005-08-04 2008-04-16 Angiotech International Ag Block copolymer compositions and uses thereof
US20070045642A1 (en) 2005-08-25 2007-03-01 Micron Technology, Inc. Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction
US7456928B2 (en) 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
EP1760527B1 (en) 2005-09-05 2012-06-06 DWI an der RWTH Aachen e.V. Photochemical method for manufacturing nanometrically surface-decorated substrates
JP4598639B2 (ja) 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
US20070183035A1 (en) 2005-10-31 2007-08-09 Koji Asakawa Short-wavelength polarizing elements and the manufacture and use thereof
WO2007055041A1 (ja) 2005-11-10 2007-05-18 National University Corporation Kyoto Institute Of Technology 配向したシリンダー構造を有するブロック共重合体膜およびその製造方法
US20070122749A1 (en) 2005-11-30 2007-05-31 Fu Peng F Method of nanopatterning, a resist film for use therein, and an article including the resist film
DE602006000939T2 (de) 2006-01-18 2009-07-09 Consiglio Nazionale Delle Ricerche Nanometervorrichtung zur Messung der Leitfähigkeit und Quanteneffekte einzelner Moleküle sowie Verfahren zur Herstellung und Verwendung
KR20080083674A (ko) 2006-01-20 2008-09-18 플렉스트로닉스, 인크 폴리티오펜을 포함하는 정전기 코팅 및 물품
JP2007194175A (ja) 2006-01-23 2007-08-02 Seiko Epson Corp 導体パターン用インク、導体パターン、配線基板及び電気光学装置並びに電子機器
US7347953B2 (en) 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20080073743A1 (en) 2006-02-17 2008-03-27 Lockheed Martin Corporation Templated growth of semiconductor nanostructures, related devices and methods
US20070194403A1 (en) 2006-02-23 2007-08-23 International Business Machines Corporation Methods for fabricating semiconductor device structures with reduced susceptibility to latch-up and semiconductor device structures formed by the methods
US20070208159A1 (en) 2006-03-02 2007-09-06 General Electric Company Poly(arylene ether) block copolymer compositions, methods, and articles
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070222995A1 (en) 2006-03-27 2007-09-27 Jennifer Lu Artifact having a textured metal surface with nanometer-scale features and method for fabricating same
KR100753542B1 (ko) 2006-04-19 2007-08-30 삼성전자주식회사 수지 조성물, 이를 이용한 패턴 형성 방법 및 커패시터형성 방법
WO2007136351A1 (en) 2006-05-22 2007-11-29 Nanyang Technological University Solution-processed inorganic films for organic thin film transistors
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070289943A1 (en) 2006-06-14 2007-12-20 Jennifer Lu Block copolymer mask for defining nanometer-scale structures
US7605081B2 (en) 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP4673266B2 (ja) * 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US20080038467A1 (en) 2006-08-11 2008-02-14 Eastman Kodak Company Nanostructured pattern method of manufacture
JP4421582B2 (ja) * 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
US20080047930A1 (en) 2006-08-23 2008-02-28 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
KR100739000B1 (ko) 2006-09-11 2007-07-12 삼성전자주식회사 상변화 기억 소자의 형성 방법
KR100771886B1 (ko) 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법
US7658773B2 (en) 2006-09-29 2010-02-09 Qimonda Ag Method for fabricating a solid electrolyte memory device and solid electrolyte memory device
TWI311337B (en) 2006-10-02 2009-06-21 Au Optronics Corporatio Multi-domain vertical alignment pixel structure and fabrication method thereof
US7553760B2 (en) * 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US8343578B2 (en) 2006-10-30 2013-01-01 International Business Machines Corporation Self-assembled lamellar microdomains and method of alignment
US7560222B2 (en) 2006-10-31 2009-07-14 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
US7514339B2 (en) 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
KR20080069000A (ko) 2007-01-22 2008-07-25 삼성전자주식회사 액정 표시 장치
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
WO2008096335A2 (en) 2007-02-07 2008-08-14 Yeda Research And Development Co. Ltd. Producing an array of nanoscale structures on a substrate surface via a self-assembled template
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US20080233297A1 (en) 2007-03-23 2008-09-25 Xerox Corporation Methods of forming a photoreceptor device having a self-assembled patterned binder layer
US7999160B2 (en) 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US7888228B2 (en) 2007-04-05 2011-02-15 Adesto Technology Corporation Method of manufacturing an integrated circuit, an integrated circuit, and a memory module
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
DE102007024653A1 (de) 2007-05-26 2008-12-04 Forschungszentrum Karlsruhe Gmbh Stempel für das Mikrokontaktdrucken und Verfahren zu seiner Herstellung
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) * 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US7732533B2 (en) 2007-08-31 2010-06-08 Micron Technology, Inc. Zwitterionic block copolymers and methods
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
US7989026B2 (en) * 2008-01-12 2011-08-02 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7696085B2 (en) 2008-02-20 2010-04-13 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8168468B2 (en) 2008-02-29 2012-05-01 Freescale Semiconductor, Inc. Method of making a semiconductor device including a bridgeable material
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) * 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8114468B2 (en) 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8211737B2 (en) 2008-09-19 2012-07-03 The University Of Massachusetts Method of producing nanopatterned articles, and articles produced thereby
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8173034B2 (en) 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8314206B2 (en) 2008-12-02 2012-11-20 Micron Technology, Inc. Block copolymer-comprising compositions and methods of purifying PS-b-PXVP
JP5281386B2 (ja) 2008-12-22 2013-09-04 株式会社日立製作所 高分子薄膜及びパターン媒体並びにこれらの製造方法
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US8834956B2 (en) 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8206601B2 (en) 2009-12-18 2012-06-26 Hitachi Global Storage Technologies Netherlands B.V. Supporting membranes on nanometer-scale self-assembled films
US20120135159A1 (en) 2010-11-30 2012-05-31 Seagate Technology Llc System and method for imprint-guided block copolymer nano-patterning
US20120164389A1 (en) 2010-12-28 2012-06-28 Yang Xiaomin Imprint template fabrication and repair based on directed block copolymer assembly

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030091752A1 (en) 2001-10-05 2003-05-15 Nealey Paul F. Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20080083991A1 (en) 2006-10-04 2008-04-10 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Sang-Min Park et al. Advanced Materials. 2007, Vol. 19, No. 4, pp. 607-611 *
Sundrani D. et al. Nano Letters. 2004, Vol. 4, No. 2, pp. 273-276 *

Also Published As

Publication number Publication date
EP2276690B1 (en) 2012-09-19
TW201008867A (en) 2010-03-01
US20130285214A1 (en) 2013-10-31
US8114301B2 (en) 2012-02-14
US8993088B2 (en) 2015-03-31
US20090274887A1 (en) 2009-11-05
CN102015524A (zh) 2011-04-13
WO2009134635A2 (en) 2009-11-05
US8518275B2 (en) 2013-08-27
JP5435438B2 (ja) 2014-03-05
KR20110007612A (ko) 2011-01-24
US20120138570A1 (en) 2012-06-07
EP2276690A2 (en) 2011-01-26
JP2011523504A (ja) 2011-08-11
TWI375659B (en) 2012-11-01
WO2009134635A3 (en) 2010-10-28
US20150137331A1 (en) 2015-05-21
CN102015524B (zh) 2013-09-11

Similar Documents

Publication Publication Date Title
KR101284422B1 (ko) 기판 상에 형성된 반원통 어레이의 그래포에피택셜 자기 조립
US11282741B2 (en) Methods of forming a semiconductor device using block copolymer materials
US8455082B2 (en) Polymer materials for formation of registered arrays of cylindrical pores
JP5541539B2 (ja) イオン液体を有するブロック共重合体膜の自己組織化における長距離秩序を改善する方法
US9257256B2 (en) Templates including self-assembled block copolymer films
JP6139011B2 (ja) 誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化
EP2146925B1 (en) Extensions of self-assembled structures to increased dimensions via a "bootstrap" self-templating method
KR101355167B1 (ko) 적어도 세 개의 고분자 블록을 구비하는 블록 공중합체를이용한 미세 패턴 형성 방법
US8557128B2 (en) Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
WO2010062568A2 (en) Methods for selective permeation of self-assembled block copolymers with metal oxides, methods for forming metal oxide structures, and semiconductor structures including same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160617

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180618

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190703

Year of fee payment: 7