KR101691321B1 - 방향성 자동 조립 애플리케이션에서의 중립 층 오버코트의 토포그래피 최소화 - Google Patents

방향성 자동 조립 애플리케이션에서의 중립 층 오버코트의 토포그래피 최소화 Download PDF

Info

Publication number
KR101691321B1
KR101691321B1 KR1020157028220A KR20157028220A KR101691321B1 KR 101691321 B1 KR101691321 B1 KR 101691321B1 KR 1020157028220 A KR1020157028220 A KR 1020157028220A KR 20157028220 A KR20157028220 A KR 20157028220A KR 101691321 B1 KR101691321 B1 KR 101691321B1
Authority
KR
South Korea
Prior art keywords
substrate
layer
rti
neutral layer
dsa
Prior art date
Application number
KR1020157028220A
Other languages
English (en)
Other versions
KR20150131133A (ko
Inventor
마크 에이치. 소머벨
벤자멘 엠. 라스색
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150131133A publication Critical patent/KR20150131133A/ko
Application granted granted Critical
Publication of KR101691321B1 publication Critical patent/KR101691321B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Semiconductor Memories (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판을 코터-디벨로퍼 프로세싱 시스템에 로딩하는 단계(410); 포토레지스트 재료로 기판을 코팅하는 단계(420); 포토레지스트 재료 층을 패터닝하는 단계(430); 기판을 증착 프로세싱 시스템에 전달하는 단계(440); 및 기판의 포토레지스트 패턴 및 노출 부분 위에 중립 층을 증착하는 단계(450)를 포함하는 층형 기판을 패터닝하기 위한 방법(400)이 제공된다. 중립 층은 최소의 토포그래피를 가지는 가스 클러스터 이온 빔(GCIB) 프로세스 또는 원자 층 증착(ALD) 프로세스를 사용하여 증착될 수 있다. 방법은 중립 층 템플릿을 노출시키기 위해 포토레지스트 패턴 위에 증착되는 중립 층의 일부분을 리프팅 오프하는 단계(510); 중립 층 템플릿 위에 DSA 재료 층을 증착하는 단계(520); DSA 패턴을 형성하기 위해 DSA 재료 층을 베이킹하는 단계(530); 및 후속하는 피처 에칭을 위한 최종 DSA 패턴을 노출시키기 위해 DSA 재료 층을 디벨로핑하는 단계(540)를 더 포함할 수 있다.

Description

방향성 자동 조립 애플리케이션에서의 중립 층 오버코트의 토포그래피 최소화{TOPOGRAPHY MINIMIZATION OF NEUTRAL LAYER OVERCOATS IN DIRECTED SELF-ASSEMBLY APPLICATIONS}
본 발명은 방향성 자동 조립(directed self-assembly)을 사용하는 패터닝 프로세스(patterning process)에 관한 것이다.
방향성 자동 조립(directed self-assembly: "DSA") 프로세스는 리소그래피 구조(lithographic structures)를 형성하기 위해 블록 코폴리머(block copolymers)를 사용하고, 이 리소그래피 구조는 랜덤의(random) 비정렬 상태(unordered state)로부터 구조화 정렬 상태(ordered state)로의 블록 코폴리머의 재배치에 의해 형성된다. 정렬 상태의 형태(morphology)는 가변적이고 블록 폴리머(polymers)의 상대 분자량 비뿐 아니라 둘러싸는 화학 및 물리 환경을 포함하는 다수의 요인에 의존한다. 다른 구조가 또한 사용될 수 있더라도, 공통 형태는 라인-스페이스(line-space) 및 원통형을 포함한다. 예를 들어, 다른 정렬 형태는 구체(spherical), 층상(lamellar), 복연속성 자이로이드(bicontinuousgyroid) 또는 믹토암 항성(miktoam star) 마이크로도메인(microdomains)을 포함한다.
BCP 박막에서의 자동 조립을 가이드하기 위해 사용되는 2개의 공통 방법은 그래포-에피택시(grapho-epitaxy) 및 케모-에피택시(chemo-epitaxy)이다. 그래포-에피택시 방법에서, 블록 코폴리머의 자기-조직화(self-organization)가 사전-패턴화(pre-patterned) 기판에 의해 가이드된다. 자기-정렬(self-aligned) 층상 BCP는 토포그래피 트렌치(topographical trenches)에서의 서로 다른 도메인의 평행한 라인-스페이스 패턴을 형성할 수 있고 토포그래피 패턴의 공간을 부분분할함으로써 패턴 해상도(resolution)를 강화할 수 있다. 그러나, 그래포-에피택시 방향성 자동 조립 방식에서 결함 및 라인-에지 거칠기(line-edge roughness)가 용이하게 유발된다. 예를 들어, 측벽이 중립이면, 층상은 측벽에 수직으로 배향하는 경향이 있고 원하는 방향을 따라 피치(pitch)를 부분분할하지 않을 것이다.
화학 에피택시 방법에서, BCP 도메인의 자동 조립은 자동 조립 BCP 형태의 도메인 크기 또는 피치 기간(L0)에 비례하는 피치 치수를 가지는 화학 패턴에 의해 가이드된다. 화학 패턴과 BCP 도메인 타입 중 적어도 하나 사이의 친화력(affinity)은 화학 패턴의 각 대응 구역, 즉 피닝(pinning) 구역 상의 서로 다른 BCP 도메인의 정밀한 배치를 발생시킨다. 도메인의 일 타입(예를 들어, A-B 다이블록(diblock) 코폴리머 집결의 A 도메인)에 대한 친화력은 다른 타입의 도메인을 향하여 선택적 또는 비-선택적(또는 중립)일 수 있는 표면의 비-패턴화 구역과 다른 도메인(예를 들어, B 도메인)의 상호작용을 지배한다. 결과적으로, 최종 BCP 집결에서의 패턴 형성은 기본 화학 패턴을 직접 미러링(mirror)할 수 있다(즉, 화학적 사전-패턴의 피처(features)의 일 대 일(one-for-one) 재생산일 수 있다). 더욱이, 자동 조립 BCP 형태의 도메인 크기 또는 피치 기간(L0) 및 피닝 구역 및 비-패턴화 구역의 임계 치수(critical dimension: CD)에 따라, 주파수 곱셈(frequency multiplication)이 달성될 수 있다. 그러나, 치수 제어 및 라인-에지 거칠기는 화학 사전-패턴에서의 토포그래피 변화들에 의해 케모-에피택시 방법에 부정적으로 영향을 미칠 수 있다.
화학 사전-패턴에서의 하나의 공통적 관찰 변화는 사전패턴 위에 중립 층을 형성할 때 도입되고, 이는 후속하는 리프트-오프(lift-off) 단계에서 해결되지 않는다. 도 1a-1e를 참조하면, 포토레지스트(103)의 하부-층(102) 및 이미지 층으로 코팅되는 기판(101)을 가지는 종래 기술의 층형(layered) 기판(100)이 제공되고, 여기서 노출 구역(104) 및 비노출 구역(105)이 방사선(106)에 대한 노출 후에 도시된다. 포토레지스트(103) 층이 광산 발생기(photoacid generator)를 포함하는 양성 톤(positive tone) 포토레지스트인 경우에, 사후-노출 베이크(post-exposure bake)를 수행할 때, 노출 구역(104)은 수성 테트라메틸암모늄 수산화물(tetramethylammonium hydroxide: TMAH)과 같은 양성 톤 디벨로핑 화학(positive tone developing chemistry)에 대해 용해성으로 된다. 도 1b에 도시된 바와 같이, 디벨로핑 화학에 대한 포토레지스트(103)의 층 노출은 개구(107)를 제공하기 위해 노출 구역(104)을 제거한다. 도 1c에 도시되는 침수 노출 단계 후의 베이크 단계는 양성 레지스트 라인(resist lines)(108)을 제공한다. 후속적으로 크로스-링크(cross-link) 박형 중립 층으로 베이킹되는 비크로스-링크(uncross-linked) 중립 층으로 양성 레지스트 라인(108)을 코팅하는 것은 크로스-링크 중립 층(110)을 형성한다. 그러나, 도 1에 도시된 바와 같이, 중립 층(110)의 도포는 전통적으로 양성 레지스트 라인(108)의 측면(108a)에 대한 중립 층(110)의 풀링(pooling) 구역(110a)에 의해 어려웠었다. 이들 풀링 구역은 도 2a에 도시되는 스캐닝 전자 마이크로그래프(scanning electron micrograph: SEM)에서 보여질 수 있다.
기본적인 양성 톤 레지스트 라인(108)은 그 후에 (테트라메틸암모늄 수산화물(TMAH) 용액과 같은) 현상액(developer solution)에 대한 노출에 의해 리프트 오프되고, 현상액은 박형 크로스-링크 중립 층(110)을 관통하고, 그 후에 기본적인 양성 톤 레지스트 라인(108)을 용해시킨다. 양성 톤 레지스트 라인(108)이 용해됨에 따라, 라인(108)에 부착되는 크로스-링크 중립 층(110)은 그 하부의 지지부, 즉 양성 톤 레지스트 라인(108)을 소실하기 때문에 본질적으로 리프트 오프된 층형 기판(100)이다. 불운하게도, 중립 층(110)의 풀링 구역(110a)은 이러한 후속적인 디벨로핑 단계에서 제거되지 않는다. 따라서, 이러한 사전-패턴 변화(112a)는 패턴화 중립 층(112)에 남아있다. 도 2b 및 2c에 도시되는 SEM에서, 유지 풀링 구역은 둥글납작한(bulbous) 에지(edge)에서 두드러지게 눈에 띈다. 중립 층 토포그래피에서의 증가된 변화는 DSA를 경험하기 위해 BCP의 오버라잉(overlying) 층의 성향을 낮출 수 있고, 이는 차례로 결함의 증가를 야기시킨다.
따라서, 상술한 제한으로 인해, 중립 층 토포그래피를 개선하기 위한 방법이 개선된 방향성 자동 조립 프로세스를 위해 특히 바람직할 것이다.
본 발명은 방향성 자동 조립 애플리케이션에서의 종래의 사전패턴 형성의 전술한 문제점 및 다른 단점, 결함 및 도전과제를 극복한다. 본 발명은 특정 실시예와 관련하여 설명되는 한편, 본 발명은 이들 실시예에 제한되지 않음이 이해될 것이다. 그와 반대로, 본 발명은 본 발명의 범위 내에 포함될 수 있는 바와 같은 모든 대안, 수정 및 등가물을 포함한다.
본 발명의 실시예에 따르면, 층형 기판을 패터닝하기 위한 방법이 제공된다. 방법은 기판을 코터-디벨로퍼(coater-developer) 프로세싱 시스템에 로딩하는 단계; 기판상에 포토레지스트 재료 층을 형성하기 위해 포토레지스트 재료로 기판을 코팅하는 단계; 기판상에 포토레지스트 패턴을 형성하기 위해 포토레지스트 재료 층을 패터닝하는 단계; 기판을 증착 프로세싱 시스템에 전달하는 단계; 및 기판의 포토레지스트 패턴 및 노출 부분 위에 중립 층을 증착하는 단계를 포함한다.
다른 실시예에 따르면, 방법은 후속하는 중립 층의 증착에 대해 저항력 있는 포토레지스트 패턴을 만들기 위해 포토레지스트 재료의 패터닝에 후속하여 포토레지스트 패턴을 경화시키는(hardening) 단계를 더 포함할 수 있다. 다른 실시예에 따르면, 방법은 포토레지스트 재료의 패터닝에 후속하여 포토레지스트 패턴을 트리밍하는(trimming) 단계를 더 포함할 수 있다.
본 발명의 다른 실시예에 따르면, 증착 프로세싱 시스템은 가스 클러스터 이온 빔(gas cluster ion beam: GCIB) 시스템일 수 있고, 중립 층은 제 1 가스 혼합물로 형성되는 가스 클러스터 이온의 GCIB 증착을 사용하여 증착된다. 다른 실시예에 따르면, 증착 프로세싱 시스템은 원자 층 증착(atomic layer deposition: ALD) 시스템이고, 중립 층은 적어도 1종의 전구체(precursor) 가스를 활용하는 ALD 증착을 사용하여 증착된다.
또 다른 실시예에 따르면, 제1항의 방법은 후속적인 방향성 자동 조립(DSA) 패터닝을 위해 중립 층 템플릿(template)을 노출시키기 위해 포토레지스트 패턴 위에 증착되는 중립 층의 일부분을 리프팅 오프하는 단계; 중립 층 템플릿 위에 DSA 재료 층을 증착하는 단계; DSA 패턴을 형성하기 위해 DSA 재료 층을 베이킹하는 단계; 및 후속하는 피처 에칭을 위한 최종 DSA 패턴을 노출시키기 위해 DSA 재료 층을 디벨로핑하는 단계를 더 포함할 수 있다.
본 명세서에 포함되고 일부를 구성하는 다음의 도면은 본 발명의 실시예를 예시하고, 상기에 주어진 본 발명의 개괄적 설명 및 이하에 주어지는 실시예의 상세한 설명과 함께, 본 발명의 원리를 설명하도록 기능한다.
도 1a-1e는 종래 기술의 실시예에 따른, 방향성 자동 조립 사전패턴을 형성하기 위한 리소그래피 프로세스를 예시한다.
도 2a는 레지스트 라인에 대해 풀링 구역을 가지는 패턴화 포토레지스트 층 상의 중립 층 코팅을 도시하는 종래기술의 스캐닝 전자 마이크로그래프(SEM)의 투시도이다;
도 2b는 리프트 오프 프로세스 후에 방향성 자동 조립을 도시하는 종래기술의 SEM의 투시도이다;
도 2c는 도 2b에 도시되는 SEM의 단면도이다;
도 3a-3g는 본 발명의 실시예에 따른, DSA 사전패턴을 형성하기 위한 리소그래피 프로세스를 예시한다;
도 4는 본 발명의 실시예에 따른, DSA 사전패턴을 형성하는 방법을 예시하는 흐름도이다; 그리고
도 5는 본 발명의 다른 실시예에 따른, DSA 사전패턴을 형성하는 방법을 예시하는 흐름도이다.
방향성 자동 조립("DSA") 집적에 사용되도록 사전패턴을 형성하기 위한 방법은 다양한 실시예에서 개시된다. 그러나, 당업자는 하나 또는 그 이상의 특정 상세 없이 또는 다른 교체 및/또는 추가적인 방법, 재료 또는 컴포넌트로 실시될 수 있음을 인식할 것이다. 다른 경우에서, 본 발명의 다양한 실시예의 양상을 모호하지 않게 하기 위해 잘-알려진 구조, 재료 또는 동작은 도시되거나 상세하게 설명되지 않는다.
유사하게, 설명의 목적을 위해, 완전한 이해를 제공하기 위해 특정 숫자, 재료 및 구성이 설명된다. 그럼에도 불구하고, 본 발명의 실시예는 특정 상세 없이 실시될 수 있다. 더욱이, 예시적인 표현이 실척으로 그려지지 않아도 됨이 이해된다.
본 명세서 전반에 "일 실시예" 또는 "실시예" 또는 그 변형에 대한 참조는 실시예와 관련하여 설명되는 특정 피처, 구조, 재료 또는 특성이 본 발명의 적어도 하나의 실시예에 포함되지만, 모든 실시예에 제시되는 것을 표기하는 것은 아님을 의미한다. 따라서, 본 명세서 전반의 여러 곳에서의 "일 실시예에서" 또는 "실시예에서"와 같은 어구의 표현은 반드시 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 더욱이, 특정 피처, 구조, 재료 또는 특성은 하나 또는 그 이상의 실시예에서 임의의 적합한 방식으로 조합될 수 있다. 다양한 추가적인 층 및/또는 구조는 다른 실시예에서 생략될 수 있다.
추가로, "a" 또는 "an"는 명시적으로 달리 서술되지 않는 한 "하나 또는 그 이상"을 의미할 수 있음이 이해될 것이다.
다양한 동작이 본 발명을 이해하는데 가장 도움이 되는 방식으로 차례로 다수의 별개 동작으로서 설명될 것이다. 그러나, 설명의 순서는 이 동작이 반드시 순서 종속적임을 암시하도록 해석되어서는 안 된다. 특히, 이들 동작은 제시의 순서로 수행될 필요가 없다. 설명된 동작은 설명 실시예와 다른 순서로 수행될 수 있다.
본 발명의 실시예에 따르면, 층형 구조를 패터닝하기 위한 방법은 기본 기판 위에 디벨롭된(developed) 사전패턴 포토레지스트를 제공하기 위해 트랙 상에 포토리소그래피 프로세스를 수행하는 단계, 증착 시스템에서 디벨롭된 사전패턴화 포토레지스트 층 위에 중립 층을 형성하는 단계, 노출된 피닝 구역에 대해 중립 층의 일부분을 리프팅 오프하는 단계, 자동 조립 블록 코폴리머의 층을 캐스팅(casting)하고 어닐링(annealing)하는 단계, 자동 조립 블록 코폴리머의 하나의 폴리머 블록의 적어도 일부분을 선택적으로 제거함으로써 패턴을 형성하는 단계, 및 패턴을 기본 기판에 임의선택적으로 전달하는 단계를 포함한다.
본원에 사용된 바와 같이, 용어 "폴리머 블록"은 구성 유닛들의 단일 타입(즉, 호모폴리머(homopolymer) 블록) 또는 다중 타입(즉, 코폴리머 블록)의 다수의 모노머 유닛의 어떤 길이의 연속적인 폴리머 체인(chain)으로의 그룹핑을 의미하고 포함하며, 연속적인 폴리머 체인은 훨씬 더 큰 길이의 더 큰 폴리머의 일부를 형성하고 위상 분리(phase separation)를 발생시키는데 충분한, 모노머 타입과 같지 않은 다른 폴리머 블록으로 XN값을 나타낸다. X는 온도 종속적인 플로리-허긴스(Flory-Huggins) 상호작용 파라미터이고, N은 블록 코폴리머를 위한 폴리머화의 총 정도이다. 본 발명의 실시예에 따르면, 더 큰 폴리머에서 적어도 하나의 다른 폴리머 블록을 가지는 하나의 폴리머 블록의 XN 값은 어닐링 온도에서 약 10.5와 같거나 클 수 있다.
본원에 사용된 바와 같이, 용어 "블록 코폴리머"는 각 체인이 상기에 정의된 바와 같이 2개 또는 그 이상의 폴리머 블록을 포함하고 블록 중 적어도 2개는 그 블록들을 위상 분리하는데 충분한 분리 강도(예를 들어, XN > 10.5)인 경우의 체인으로 구성되는 폴리머를 의미하고 포함한다. 다이블록 코폴리머(즉, 2개의 폴리머 블록(AB)을 포함하는 폴리머), 트리블록(triblock) 코폴리머(즉, 3개의 폴리머 블록(ABA 또는 ABC)을 포함하는 폴리머), 멀티블록(multiblock) 코폴리머(즉, 3개보다 많은 폴리머 블록(ABCD, 등)을 포함하는 폴리머) 및 그 조합을 포함하는 폭넓고 다양한 블록 폴리머가 본원에서 고려된다.
본원에 사용된 바와 같이, 용어 "기판"은 재료가 형성될 때 베이스 재료 또는 구성을 의미하고 포함한다. 기판은 단일 재료, 서로 다른 재료의 복수 층, 그 내에서의 서로 다른 재료 또는 서로 다른 구조의 구역을 가지는 층 등을 포함할 수 있음이 인식될 것이다. 이 재료는 반도체, 절연체(insulators), 컨덕터(conductors) 또는 그 조합을 포함할 수 있다. 예를 들어, 기판은 반도체 기판, 지지 구조 상의 베이스 반도체 층, 하나 또는 그 이상의 층을 가지는 금속 전극 또는 반도체 기판, 그 위에 형성되는 구조 또는 구역일 수 있다. 기판은 반도체 재료의 층을 포함하는 종래의 실리콘 기판 또는 다른 벌크(bulk) 기판일 수 있다. 본원에 사용된 바와 같이, 용어 "벌크 기판"은 실리콘 웨이퍼뿐 아니라 실리콘-온-사파이어(silicon-on-sapphire: "SOS") 기판 및 실리콘-온-글래스(silicon-on-glass: "SOG") 기판과 같은 실리콘-온-절연체(silicon-on-insulator: "SOI"), 베이스 반도체 기반 상의 실리콘의 에피택셜 층, 및 실리콘-게르마늄, 게르마늄, 갈륨 아세나이드(gallium arsenide), 갈륨 질화물(gallium nitride) 및 인듐 인화물(indium phosphide)과 같은 다른 반도체 또는 광전자(optoelectronic) 재료를 의미하고 포함한다. 기판은 도핑 또는 비도핑될 수 있다.
본원에 사용된 바와 같은 용어 "미세 위상 분리(microphase segregation)" 및 "미세 위상 분리(microphase separation)"는 블록 폴리머의 동종의 블록이 상호간에 어그리게이트(aggregate)하고, 이종의 블록이 별개의 도메인으로 분리하는 특성을 의미하고 포함한다. 벌크에서, 블록 코폴리머는 구형, 원통형, 층상 또는 복연속성 자이로이드 마이크로도메인을 가지는 정렬된 형태로 자기 집결할 수 있고, 여기서 블록 코폴리머의 분자량은 형성된 마이크로도메인의 크기에 영향을 준다. 자동 조립된 블록 코폴리머 형태의 도메인 크기 또는 피치 기간(L0)은 패턴화 구조의 임계 치수를 설계하기 위한 기초로서 사용될 수 있다. 유사하게, 블록 코폴리머의 폴리머 블록 중 하나를 선택적으로 에칭한 후에 남아있는 피처의 치수인 구조 기간(LS)은 패턴화 구조의 임계 치수를 설계하기 위한 기초로서 사용될 수 있다.
블록 코폴리머를 구성하는 폴리머 블록의 각각의 길이는 그 블록 코폴리머의 폴리머 블록에 의해 형성되는 도메인의 크기에 대한 고유 제한(intrinsic limit)일 수 있다. 예를 들어, 폴리머 블록의 각각은 원하는 패턴의 도메인으로의 자동 조립을 용이하게 하는 길이로 선택될 수 있고, 더 짧고 및/또는 더 긴 코폴리머가 원하는대로 자동 조립하지 않을 수 있다.
본원에 이용된 바와 같은 용어 "어닐링(annealing)" 또는 "어닐(anneal)"은 폴리머 블록으로부터 형성되는 구조 유닛을 반복함으로써 정의되는 정렬 패턴을 형성하기 위해 블록 코폴리머의 2개 또는 그 이상의 서로 다른 폴리머 블록 컴포넌트 사이의 충분한 미세 위상 분리를 가능하게 하는 블록 코폴리머의 처리를 의미하고 포함한다. 본 발명에서의 블록 코폴리머의 어닐링은 (질소 또는 아르곤과 같은 불활성 분위기에서 또는 진공에서의) 열적 어닐링, (실온 이상에서의) 용제 기상-보조 어닐링(solvent vapor-assisted annealing) 또는 초임계 유체-보조 어닐링(supercritical fluid-assisted annealing)을 포함하는(그러나 이에 제한되지 않음) 기술분야에 알려지는 다양한 방법에 의해 달성될 수 있다. 특정 예로서, 블록 코폴리머의 열적 어닐링은 유리 전이 온도(Tg)를 초과하지만, 블록 코폴리머의 열적 저하 온도(Td) 미만이고, 또한 블록 코폴리머가 더 이상 위상 분리되지 않을 정렬-비정렬 온도(ODT) 미만인 상승 온도에 블록 코폴리머를 노출시킴으로써 수행될 수 있다. 본원에 설명되지 않은 다른 종래의 어닐링 방법들이 또한 활용될 수 있다.
블록 코폴리머의 자기-조직화 능력은 마스크 패턴을 형성하기 위해 사용될 수 있다. 블록 코폴리머는 2개 또는 그 이상의 화학적으로 구별된 블록으로 형성된다. 예를 들어, 각 블록은 서로 다른 모노머로 형성될 수 있다. 블록은 비혼합(immiscible)이거나 열역학적으로 양립할 수 없는데, 예를 들어, 하나의 블록은 극성일 수 있고 다른 블록은 비-극성일 수 있다. 열역학적 효과로 인해, 전체적으로 시스템의 에너지를 최소화하기 위해 코폴리머는 용액에서 자기-조직화할 것이다; 전형적으로, 이것은 예를 들어, 유사한 블록이 함께 어그리게이트하도록, 코폴리머로 하여금 서로에 대해 이동하게 하고, 그에 의해 각 블록 타입 또는 종을 포함하는 교대 구역(alternating regions)을 형성한다. 예를 들어, 코폴리머가 극성(예를 들어, 유기금속-함유(organometallic-containing) 폴리머) 및 비극성 블록(예를 들어, 탄화수소 폴리머)으로 형성된다면, 블록은 비-극성 블록이 다른 비-극성 블록과 어그리게이트하도록 그리고 극성 블록이 다른 극성 블록과 어그리게이트하도록 분리할 것이다. 전체적으로 분자의 집단 운동의 속도를 증가시키기 위해 열이 가해질 수 있더라도, 블록 코폴리머는 특정 개개의 분자 운동을 지시하기 위한 외부 힘의 능동적 적용 없이 패턴을 형성하기 위해 블록이 이동할 수 있기 때문에 자동 조립 재료로서 설명될 수 있음이 인식될 것이다.
폴리머 블록 종 사이의 상호작용에 더하여, 블록 코폴리머의 자동 조립은 블록 코폴리머가 증착되는 수평 표면으로부터 수직으로 연장하는 스텝(steps) 또는 가이드(guides)와 같은 토포그래피 피처에 의해 영향받을 수 있다. 예를 들어, 2개의 서로 다른 폴리머 블록 종으로 형성되는 코폴리머인 다이블록 코폴리머는 실질적으로 서로 다른 폴리머 블록 종으로 각각 형성되는 교대 도메인 또는 구역을 형성할 수 있다. 폴리머 블록 종의 자동 조립이 스텝의 수직 벽 또는 가이드 사이의 영역에서 발생할 때, 스텝 또는 가이드는 예를 들어, 블록에 의해 형성되는 교대 구역의 각각이 벽 및 수평 표면에 일반적으로 평행하게 배향되는 피처로 규칙적으로 이격된 패턴을 형성하기 위해 만들어지도록 폴리머 블록과 상호작용할 수 있다.
그와 같은 자동 조립은 반도체 제조 프로세스 동안 패터닝 피처를 위한 마스크를 형성하는데 유용할 수 있다. 예를 들어, 교대 도메인 중 하나가 제거될 수 있고, 그에 의해 다른 구역을 형성하는 재료가 마스크로서 기능하게 남아있다. 마스크는 기본 반도체 기판에서의 전기 디바이스와 같은 피처를 패터닝하기 위해 사용될 수 있다. 코폴리머 마스크를 형성하기 위한 방법은 미국 특허번호 제 7,579,278 호; 및 미국 특허번호 제 7,723,009 호에 개시되고, 각각의 전체 개시물은 본원에 인용에 의해 포함된다.
본 발명의 실시예에 따르면, 방향성 자동 조립 블록 코폴리머는 제 1 폴리머 블록 및 제 2 폴리머 블록을 포함하는 블록 코폴리머이고, 여기서 제 1 폴리머 블록은 제 1 세트의 에칭 조건 하에서 제 2 블록 폴리머 위에 2보다 큰 에칭 선택성을 내재적으로 가진다. 일 실시예에 따르면, 제 1 폴리머 블록은 제 1 유기 폴리머를 포함하고, 제 2 폴리머 블록은 제 2 유기 폴리머를 포함한다. 다른 실시예에서, 제 1 폴리머 블록은 유기 폴리머이고 제 2 폴리머 블록은 유기금속-함유 폴리머이다. 본원에 사용된 바와 같이, 유기금속-함유 폴리머는 무기 재료를 포함하는 폴리머를 포함한다. 예를 들어, 무기 재료는 실리콘과 같은 준금속(metalloids) 및/또는 철과 같은 전이 금속(transition metals)을 포함하지만, 이에 제한되지 않는다.
각 블록 코폴리머의 총 크기 및 구성 블록 및 모노머의 비가 자기-조직화를 용이하게 하기 위해 그리고 원하는 치수 및 주기성을 가지는 조직화 블록 도메인을 형성하기 위해 선택될 수 있음이 인식될 것이다. 예를 들어, 블록 코폴리머는 고유한 폴리머 길이 스케일(scale)인, 블록 도메인의 크기를 지배하는 임의의 코일링 또는 킹킹(kinking)을 포함하는, 막에서의 코폴리머의 평균 단-대-단(end-to-end) 길이를 가짐이 인식될 것이다. 더 긴 코폴리머를 가지는 코폴리머 용액은 더 큰 도메인을 형성하기 위해 사용될 수 있고 더 짧은 코폴리머를 가지는 코폴리머 용액은 더 작은 도메인을 형성하기 위해 사용될 수 있다.
더욱이, 블록 코폴리머에 의해 형성되는 자동 조립 마이크로도메인의 타입은 제 1 블록 컴포넌트 대 제 2 블록 컴포넌트의 부피율(volume fraction)에 의해 용이하게 결정된다.
예를 들어, 제 1 블록 컴포넌트 대 제 2 블록 컴포넌트의 부피 비는 약 80:20보다 크거나, 약 20:80보다 적은 때에, 블록 코폴리머는 제 1 폴리머 블록 컴포넌트로 구성되는 매트릭스(matrix)에서 제 2 폴리머 블록 컴포넌트로 구성되는 정렬된 구체 어레이를 형성할 것이다. 역으로, 제 1 블록 컴포넌트 대 제 2 블록 컴포넌트의 부피 비는 약 20:80보다 작을 때, 블록 코폴리머는 제 2 폴리머 블록 컴포넌트로 구성되는 매트릭스에서 제 1 폴리머 블록 컴포넌트로 구성되는 정렬된 구체 어레이를 형성할 것이다.
제 1 블록 컴포넌트 대 제 2 블록 컴포넌트의 부피 비가 약 80:20보다 작지만 약 65:35보다 클 때, 블록 코폴리머는 제 1 폴리머 블록 컴포넌트로 구성되는 매트릭스에서 제 2 폴리머 블록 컴포넌트로 구성되는 정렬된 원통 어레이를 형성할 것이다. 역으로, 제 1 블록 컴포넌트 대 제 2 블록 컴포넌트의 부피 비가 약 35:65보다 작지만 약 20:80보다 클 때, 블록 코폴리머는 제 1 폴리머 블록 컴포넌트로 구성되는 매트릭스에서 제 2 폴리머 블록 컴포넌트로 구성되는 정렬된 원통 어레이를 형성할 것이다.
제 1 블록 컴포넌트 대 제 2 블록 컴포넌트의 부피 비가 약 65:35보다 작지만 약 35:65보다 큰 때에, 블록 코폴리머는 제 1 및 제 2 폴리머 블록 컴포넌트로 구성되는 교대 층상(alternating lamellae)을 형성할 것이다.
따라서, 제 1 블록 컴포넌트 대 제 2 블록 컴포넌트의 부피 비는 원하는 자동 조립 주기적 패턴을 형성하기 위해 블록 코폴리머에서 용이하게 조정될 수 있다. 본 발명의 실시예에 따르면, 제 1 폴리머 블록 컴포넌트 및 제 2 폴리머 블록 컴포넌트의 교대 층으로 구성되는 정렬된 층상 도메인의 어레이를 산출하기 위해 제 1 블록 컴포넌트 대 제 2 블록 컴포넌트의 부피 비는 약 65:35보다 작지만 약 35:65보다 크다.
블록 코폴리머는 폴리(9,9-비스(6'-N,N,N-트리메틸암모늄)-헥실)-플루오렌 페닐렌)(poly(9,9-bis(6'-N,N,N-trimethylammonium)-hexyl)-fluorene phenylene)(PFP), 폴리(4-비닐피리딘)(poly(4-vinylpyridine)(4PVP), 하이드록시프로필 메틸셀룰로즈(hydroxypropyl methylcellulose)(HPMC), 폴리에틸렌 글리콜(polyethylene glycol)(PEG), 폴리(에틸렌 산화물)-코-폴리(프로필렌 산화물) 디- 또는 멀티블록 코폴리머(poly(ethylene oxide)-co-poly(propylene oxide)di or multiblock copolymers), 폴리(비닐 알콜)(poly(vinyl alcohol)(PVA), 폴리(에틸렌-코-비닐 알콜)(poly(ethylene-co-vinyl alcohol)(PEVA), 폴리(아크릴 산)(poly(acrylic acid)(PAA), 폴리유산(polylactic acid)(PLA), 폴리(에틸옥사졸린)(poly(ethyloxazoline)), 폴리(알킬아크릴레이트)(poly(alkylacrylate)), 폴리아크릴아미드(polyacrylamide), 폴리(N-알킬아크릴아미드)(poly(N-alkylacrylamide)), 폴리(N,N-디알킬아크릴아미드)(poly(N,N-dialkylacrylamide)), 폴리(프로필렌 글리콜)(poly(propylene glycol)(PPG), 폴리(프로필렌 산화물)(poly(propylene oxide)(PPO), 부분적 또는 완전한 가수분해 폴리(비닐 알콜)(partially or fully hydrolyzed poly(vinyl alcohol)), 덱스트란(dextran), 폴리스티렌(polystyrene(PS)), 폴리에틸렌(polyethylene(PE)), 폴리프로필렌(polypropylene(PP)), 폴리이소프렌(polyisoprene(PI)), 폴리클로로프렌(polychloroprene)(CR)), 폴리비닐 에테르(polyvinyl ether)(PVE), 폴리(비닐 아세테이트)(poly(vinyl acetate)(PVAc)), 폴리(비닐 클로라이드)(poly(vinyl chloride)(PVC), 폴리우레탄(polyurethane(PU)), 폴리아크릴레이트(polyacrylate), 올리고당(oligosaccharide) 또는 다당류(polysaccharide)를 포함하는(그러나 이들로 제한되지 않음) 예시적인 유기 폴리머 블록으로 이루어질 수 있다.
블록 코폴리머는 폴리디메틸실옥산(polydimethylsiloxane(PDMS)), 다면체 올리고머 실세스퀴옥산(polyhedral oligomeric silsesquioxane(POSS)) 또는 폴리(트리메틸실릴스티렌)(poly(trimethylsilylstyrene(PTMSS))과 같은 실리콘-함유 폴리머, 또는 폴리(페로세닐디메틸실란)(poly(ferrocenyldimethylsilane(PFS))과 같은 실리콘- 및 철-함유 폴리머를 포함하는(그러나 이들로 제한되지 않음) 예시적인 유기금속-함유 폴리머로 이루어질 수 있다.
예시적인 블록 코폴리머는 폴리스티렌-b-폴리디메틸실옥산(polystyrene-b-polydimethylsiloxane(PS-PDMS)), 폴리(2-비닐피리딘)-b-폴리디메틸실옥산(poly(2-vinylpyridine)-b-polydimethylsiloxane(P2VP-PDMS)), 폴리스티렌-b-폴리(페로세닐디메틸실란)(polystyrene-b-poly(ferrocenyldimethylsilane(PS-PFS)), 또는 폴리스티렌-b-폴리-DL-젖산(polystyrene-b-poly-DL-lactic acid(PS-PLA))과 같은 다이블록 코폴리머, 또는 폴리스티렌-b-폴리(페로세닐디메틸실란)-b-폴리(2-비닐피리딘)(polystyrene-b-poly(ferrocenyldimethylsilane)-b-poly(2-vinylpyridine)(PS-PFS-P2VP)), 폴리이소프렌-b-폴리스티렌-b-폴리(페로세닐디메틸실란)(polyisoprene-b-polystyrene-b-poly(ferrocenyldimethylsilane(PI-PS-PFS)), 또는 폴리스티렌-b-폴리(트리메틸실릴스티렌)-b-폴리스티렌(polystyrene-b-poly(trimethylsilylstyrene)-b-polystyrene(PS-PTMSS-PS))과같은 트리블록 코폴리머를 포함하지만, 이들로 제한되는 것은 아니다. 일 실시예에서, PS-PTMSS-PS 블록 코폴리머는 4개의 스티렌 유닛(styrene units)을 포함하는 링커(linker)에 의해 연결되는 PTMSS의 2개의 체인으로 형성되는 폴리(트리메틸실릴스티렌)(poly(trimethylsilylstyrene)) 폴리머 블록을 포함한다. 블록 코폴리머의 수정은 또한 그 전체 개시물이 본원에 인용에 의해 포함되는 미국 특허출원공보 제 2012/0046415 호에 개시된 바와 같이 구상된다.
특정 실시예에서, 자기-결집 주기적 패턴을 형성하기 위해 사용되는 블록 코폴리머는 PS-PMMA 블록 코폴리머이다. 그와 같은 PS-PMMA 블록 코폴리머에서의 폴리스티렌(PS) 및 폴리메틸메타크릴레이트(polymethylmethacrylate(PMMA)) 블록은 각각 약 10 kg/mol 내지 약 100 kg/mol 범위에 있는 평균 분자량을 가지고, 약 20 kg/mol 내지 약 50 kg/mole의 평균 분자량이 더 전형적이다. 추가로, PMMA의 부피율(fPMMA)은 약 35% 내지 약 65%의 범위에 있을 수 있다. 일 실시예에서, 50 vol% PMMA로, 44 kg/mol 분자량을 가지는 PS-PMMA 블록 코폴리머는 12.5 nm 구조 기간(LS) 및 25 nm 피치(L0)를 가지는 층상 피처를 제공한다.
본 발명의 실시예는 또한 블록 폴리머 단독 또는 포토리소그래피 단독으로 형성될 수 있는 것보다 작은 피처의 형성을 허용할 수 있다. 본 발명의 실시예에서, 서로 다른 화학 종으로 형성되는 자동 조립 재료는 유사 화학 종으로 구성되는 도메인을 형성하기 위해 조직화하도록 허용된다. 그 도메인의 일부분은 일시적 플레이스홀더(placeholders) 및/또는 마스크 피처를 형성하기 위해 선택적으로 제거된다. 피치 곱셈 프로세스는 그 후에 자동 조립 재료로 형성되는 일시적 플레이스홀더 및/또는 마스크 피처를 사용하여 수행될 수 있다. 일시적 플레이스홀더의 피치보다 작은 피치를 가지는 피처는 일시적 플레이스홀더로부터 도출될 수 있다.
그러나, 종래 기술의 문제점을 극복하고 케모-에피택시 사전패턴의 토포그래피를 최소화하기 위해, 본원에 설명된 방법은 DSA 애플리케이션에서의 사용을 위해 사전패턴화 기판을 준비하기 위해 프로세싱 단계의 새로운 조합을 활용한다. 이 방법은 DSA 단계의 신뢰성을 개선할 수 있고, 그에 의해 프로세싱 결함을 최소화한다.
따라서, 본 발명의 실시예들에 따라 그리고 도 4를 참조하여, 층형 기판을 패터닝하기 위한 방법(400)이 제공된다. 방법(400)은 기판을 기판을 코터-디벨로퍼 프로세싱 시스템에 로딩하는 단계(410); 기판상의 포토레지스트 재료를 형성하기 위해 포토레지스트 재료로 기판을 코팅하는 단계(420); 기판상의 포토레지스트 패턴을 형성하기 위해 포토레지스트 재료 층을 패터닝하는 단계(430); 기판을 증착 프로세싱 시스템에 전달하는 단계(440); 및 기판의 포토레지스트 패턴 및 노출 부분 위에 중립 층을 증착하는 단계(450)를 포함한다. 이하에 더 상세하게 설명되는 바와 같이, 중립 층은 1) 가스 클러스터 이온 빔(GCIB) 프로세스 또는 2) 원자 층 증착(ALD) 프로세스를 사용하여 증착될 수 있다.
도 3a-3g 및 단계(410)를 참조하면, 기판(301)은 코터/디벨로퍼의 CLEAN TRACK® 패밀리로부터의 유닛 중 하나와 같은 코터-디벨로퍼 프로세싱 시스템 내로 로딩되고, 여기서 포토레지스트와 같은 광 감지 재료가 도포될 수 있고, 이미징될 수 있으며 디벨로핑될 수 있다. 기판(301)은 반도체, 예를 들어, 단결정(mono-crystalline) 실리콘, 게르마늄 및 임의의 다른 반도체를 포함할 수 있다. 대안적인 실시예에서, 기판(301)은 집적 회로, 수동 마이크로전자 디바이스(passive microelectronic devices)(예를 들어, 커패시터, 인덕터) 및 능동 마이크로전자 디바이스(예를 들어, 트랜지스터, 광-검출기(photo-detectors), 레이저, 다이오드)를 제조하기 위해 사용되는 임의의 재료를 포함할 수 있다. 기판(301)은 그 최상부 위에 형성되는 전도성 층 또는 층들로부터 그와 같은 능동 및 수동 마이크로전자 디바이스를 분리하는 절연 재료를 포함할 수 있다. 일 실시예에서, 기판(301)은 하나 또는 그 이상의 절연 층, 예를 들어, 실리콘 이산화물, 실리콘 질화물, 사파이어 및 다른 절연 재료를 포함하는 p-타입 단결정 실리콘 기판을 포함한다.
기판(301)은 베이스 층 위에 배치되는 하나 또는 그 이상의 박막 또는 층(302)을 가지는 막 스택(film stack)을 포함할 수 있다. 일 실시예에서, 층(302)은 유기 폴리머-기반 반사방지 코팅(anti-reflective coating: ARC)과 같은 유기 층일 수 있다. 다른 실시예에서, 층(302)은 실리콘 ARC와 같은 무기-기반 층일 수 있다. 다른 실시예에 따르면, 층(302)을 형성하는데 활용되는 재료는 일 타입의 도메인(예를 들어 A-B 다이블록 코폴리머 집결의 A 도메인)에 대한 화학적 친화력에 기초하여 선택될 수 있다.
포토레지스트 재료의 용액은 기판상에 스핀-코팅(spin-coated) 또는 스프레이-코팅(spray-coated)될 수 있고, 그 후에 층형 기판(300) 상에 포토레지스트 재료 층을 형성하기 위해 캐스팅 용제(casting solvent)를 제거하도록 베이크된다. 도 3a 및 단계(430)에 도시된 바와 같이, 방사선(306)으로 포토레지스트 재료 층을 패터닝하는 것은 기판(230)상의 노출 구역(304) 및 비노출 구역(305)을 가지는 패턴화 포토레지스트 층(303)을 형성한다. 레지스트의 본질에 따라, 후속적인 디벨롭먼트 단계는 비노출 구역(305)이 제거되는 음성 톤(negative tone)일 수 있거나, 노출 구역(304)이 제거되는 양성 톤(positive tone)일 수 있다. 도 3b에 도시된 실시예에서, 양성 톤 디벨롭먼트가 노출 구역(304)을 제거하고 그에 의해 개구(307) 및 레지스트 라인(305)을 제공하기 위해 수행되었다. 레지스트 라인(305)을 포함하는 포토레지스트 재료의 화학적 조성에 따라, 중립 층의 증착 이전에 사전패턴화 기판이 추가적인 프로세싱을 경험할 수 있는지가 또한 고려된다. 예를 들어, 사전패턴화 기판의 패턴화 포토레지스트 층이 트리밍될 수 있다. 또한 공통으로 슬리밍(slimming)으로 지칭되는 트리밍을 위한 예시적인 방법은 미국 특허번호 제 8,338,086 호 및 제 8,435,728 호에 설명되고, 이 특허 문서의 전체 내용은 그 전체가 인용에 의해 본원에 포함된다.
본 발명의 실시예에 따르면, 이하에 더 상세하게 설명되는 리프트-오프 단계에서 후속적으로 제거될 레지스트 라인(305)은 특정 선호 특성을 가질 수 있다. 예를 들어, 레지스트 라인(305)은 중립 층 증착 프로세스와 호환가능할 수 있다. 그러나, 중립 층 증착 프로세스에서의 포토레지스트 재료의 호환성은 포토레지스트 재료의 본질, 증착 프로세스, 즉 GCIB 또는 ALD 프로세스인지, 그리고 프로세싱 조건에 따른다. 따라서, 패터닝에 후속하여 포토레지스트 패턴을 경화하는 것은 중립 층의 후속하는 증착 동안의 품질저하에 대해 저항하는 포토레지스트 패턴을 만들 수 있다.
고려할 레지스트 라인(305)의 다른 특성은 레지스트 라인이 후속적인 리프트-오프 프로세스에서 사용되는 프로세싱 액체에서 용해가능할 수 있다는 것이다. 예를 들어, 포토레지스트 재료가 보호 폴리머 및 광산 발생기를 포함하는 양성 톤 포토레지스트인 경우에, 침수 노출 단계 다음에 베이크 단계가 레지스트 라인들을 테트라메틸 암모늄 수산화물(tetramethyl ammonium hydroxide(TMAH)) 용액과 같은 수성 기본 용액으로 만들 것이다. 따라서, 도 3b-3c에 도시된 실시예에서, 보호 포토레지스트 폴리머를 포함하는 레지스트 라인(305)은 중립 층의 도포 및 리프트오프 프로세스 동안 프로세싱 액체에서의 후속적인 용해를 위해 준비되는 사전패턴화 기판을 제공하기 위해 침수 노출 및 사후-노출 베이크에 의해 비보호 포토레지스트 폴리머를 포함하는 레지스트 라인(308)으로 변환된다. 다른 예에 따르면, 포토레지스트 재료가 유기 용제로 디벨로핑되는 음성 톤 포토레지스트인 경우에, 레지스트 라인(305)은 후속적인 중립 층을 위해 캐스팅 용제에서 용해가능하지 않도록, 그리고 리프트-오프 프로세스에서 사용되는 프로세싱 액체에서 분해가능하지 않도록 적절한 극성을 가진다. 그와 같이, 침수 노출 및 베이크 단계는 필요하지 않다.
따라서, 실시예에 따르면, 포토레지스트가 양성 톤 레지스트인 경우에, 패턴화 양성 톤 포토레지스트 층을 포함하는 층형 기판은 조건이 리프트-오프 프로세싱 액체에 분해가능하거나 더 분해가능하게 만들기 위해 포토레지스트 재료의 극성을 변화시키는데 충분한 경우에, 중립 층의 증착 이전에 프로세싱 조건에 노출될 수 있다. 예시적인 프로세스는 염소 표백(acid wash) 및 사후-염소 표백 베이크, 또는 열적 저하를 유발하기 위해 충분한 온도로 포토레지스트 재료를 가열하는 것을 포함하지만, 이들에 제한되는 것은 아니다. 보완적인 실시예에서, 포토레지스트가 음성 톤 레지스트인 경우에, 중립 층을 증착하기 이전의 추가적인 프로세싱 단계들이 생략될 수 있다.
A. 가스 클러스터 이온 빔 프로세서
도 3c에 도시되는 사전패턴화 기판은 증착 프로세싱 시스템에 전달될 수 있다. 실시예에 따르면, 증착 프로세싱 시스템은 GCIB 프로세싱 시스템이다. 적합한 GCIB 프로세싱 시스템의 예시적인, 그러나 비-제한 예는 미국 특허번호 제 8,097,860 호 및 제 7,794,798 호 및 미국 특허출원공보 제 2010/0193701 호에 설명되고, 이 특허 문서의 전체 내용은 그 전체가 본원에 인용에 의해 포함된다. GCIB를 위한 기본 동작 원리는 고 에너지의, 나노스케일(nanoscale) 클러스터 이온의 빔에 의해 표면이 충격받을 때 코팅이 형성되는 것이다. 고압 가스(대략 10 기압)가 적절한 형상화 노즐을 통해 실질적으로 저압 진공으로 팽창할 때 클러스터가 형성된다. 가스는 단열적으로 팽창하고 그 후에 응결을 클러스터로 냉각시킨다.
클러스터는 원자 물리학권과 고체 상태 물리학권 사이의 중간의 고유한 특성을 가지는 결정성 물질의 나노크기 비트이다. 팽창은 노즐 내부에서 발생하여 가스 흐름을 형성화하고 클러스터의 제트 형성을 용이하게 한다. 클러스터의 제트는 높은 진공 구역(1 × 10-8 분위기) 내로 차동 펌핑 개구(apertures)를 통과하며, 여기서 클러스터가 에너지 전자와의 충돌에 의해 이온화된다. 이온화 클러스터는 매우 높은 속도로 정전기적으로 가속화되고, 타이트 빔(tight beam)으로 포커싱된다.
노즐의 수, 노즐에 대한 층형 기판의 상대적 배향 등과 같은 여러 동작 파라미터에 따라, 사전패턴화 기판의 토포그래피를 균일하게 또는 불균일하게 코팅하는 방식으로 GCIB 증착이 적용될 수 있다. 예를 들어, 도 3d에 도시된 바와 같이, 균일하게 도포된 중립 층(312)이 형성될 수 있으며, 따라서 레지스트 라인(308)의 수직 표면(308a)이 또한 중립 재료로 코팅된다. 도 3e에 도시되는 대안적인 실시예에서, 개구(307) 상의 중립 층(314a) 및 레지스트 라인(308)의 수평 표면(308b) 상의 중립 층(314b)을 형성하기 위해 중립 층이 수평 표면에 선택적으로 도포될 수 있다. 그와 같이, 레지스트 라인(308)의 수직 표면(308a)은 비코팅 상태로 남아있다. 어느 한 쪽의 실시예에서, 레지스트 라인(308)에 대한 중립 층 재료의 풀링은 실질적으로 최소화되거나 제거된다.
따라서, 본 실시예에 따르면, 실리콘-함유 가스, 탄소-함유 가스, 산소-함유 가스, 수소-함유 가스 또는 그 조합을 포함할 수 있는 제 1 가스 혼합물로 형성되는 가스 클러스터 이온의 GCIB 증착을 사용하여 중립 층이 증착된다. 상기에 주목된 바와 같이, 중립 층은 후속적인 DSA 패터닝에서의 BCP의 하나 또는 그 이상의 블록쪽의 화학적 특성에 기초하여 선택될 수 있다. 따라서, 제 1 가스 혼합물은 중립 층의 화학 특성을 설정하기 위해 조정될 수 있다.
실리콘-함유 막을 생산할 때, 실리콘-함유 종은 실란
(silane(SiH4)), 디실란(disilane(Si2H6)), 디클로로실란(dichlorosilane(SiH2Cl2)), 트리클로로실란(trichlorosilane(SiCl3H)), 디에틸실란(diethylsilane(C4H12Si), 트리메틸실란(trimethylsilane(C3H10Si)), 실리콘 테트라클로라이드(silicon tetrachloride(SiCl4)), 실리콘 테트라플루오라이드(silicon tetrafluoride(SiF4)), 또는 그 중 2개 또는 그 이상의 조합을 포함할 수 있다.
실리콘-함유 막을 생산할 때, 가압된 가스 혼합물의 다른 종은 탄소-함유 재료, 산소-함유 재료, 수소-함유 재료 또는 그 중 2개 또는 그 이상의 조합을 포함할 수 있다. 예를 들어, 가압된 가스 혼합물은 N2, NH3, NF3, NO, N2O, NO2, 공식 CxFy를 가지는 탄화수소 가스―x 및 y는 1과 같거나 큰 정수임―, 공식 CxFy를 가지는 플루오르화 탄소 가스(fluorocarbon gas)―x 및 y는 1과 같거나 더 큰 정수임―, 공식 CxHyFz를 가지는 수소불화탄소 가스―x, y 및 z는 1과 같거나 더 큰 정수임―, H2, O2, CO, CO2 또는 불활성 가스 또는 그 중의 2개 또는 그 이상의 조합을 또한 포함할 수 있다.
일 예에 따르면, Si를 포함하는 막은 GCIB에 대한 실란(SiH4)의 도입을 사용하여 증착된다.
다른 예에 따르면, Si 및 O를 포함하는 막은 GCIB에 대한 실리콘 테트라플루오라이드(SiF4) 및 O2와 같은 산소-함유 가스의 도입을 사용하여 증착된다.
다른 예에 따르면, Si 및 C를 포함하는 막은 GCIB에 대해 실란(SiH4) 및 메탄(CH4)과 같은 수소탄화 가스(CxHy)의 도입을 사용하여 증착된다. 대안적으로, GCIB에 대한 디에틸실란(diethylsilane) 또는 트리메틸실란 (trimethylsilane)의 도입이 활용될 수 있다.
다른 예에 따르면, Si, H 및 C를 포함하는 막은 GCIB에 대해 실란(SiH4) 및 메탄(CH4)과 같은 수소탄화 가스(CxHy)의 도입을 사용하여 증착된다. 대안적으로, GCIB에 대한 디에틸실란 또는 트리메틸실란의 도입이 활용될 수 있다.
다른 예에 따르면, Si, O 및 C를 포함하는 막은 GCIB에 대한 실리콘 테트라플루오라이드(SiF4), O2와 같은 산소-함유 가스, 및 메탄(CH4)의 도입을 사용하여 증착된다. 대안적으로, 다른 탄소-함유 가스는 CO 및 CO2를 포함할 수 있다.
예를 들어, 유기 층상 블록 코폴리머(예를 들어, 폴리스티렌-b-폴리(메틸 메타크릴레이트) 또는 PS-b-PMMA)에 대해, C, H 및 O의 다양한 비로 막을 증착하는 것은 중립 층의 소수성(hydrophobicity)을 변경할 수 있다. 예를 들어, 중립 층 막에서 산소 함량을 증가시키는 것은 중립 층의 소수성을 증가시킨다. 역으로, 낮은 산소 함량은 더 많은 소수성 중립 층을 생산할 것이다. 실리콘-함유 고 χ 재료에 대해, 다양한 비를 가지는 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물 및 탄화물 막은 표면 에너지의 범위를 커버할 것이다.
노즐의 수, 노즐에 대한 층형 기판의 상대적 배향 등과 같은 다양한 동작 파라미터에 따라, GCIB 증착은 사전패턴화 기판의 토포그래피를 균일하게 또는 불균일하게 코팅하는 방식으로 적용될 수 있다. 예를 들어, 도 3d에 도시된 바와 같이, 균일하게 도포된 중립 층(312)이 형성될 수 있어, 레지스트 라인(308)의 수직 표면(308a)이 또한 중립 재료로 코팅된다. 도 3e에 도시되는 대안적인 실시예에서, 개구(307)에서의 중립 층(314a), 및 레지스트 라인(308)의 수평 표면(308b) 상의 중립 층(314b)을 형성하기 위해 수평 표면에 중립 층이 선택적으로 도포될 수 있다. 그와 같이, 레지스트 라인(308)의 수직 표면(308a)은 비코팅 상태로 남아있다. 어느 한 쪽의 실시예에서, 레지스트 라인(308)에 대한 중립 층 재료의 풀링은 실질적으로 최소화되거나 제거된다.
기판은 기판 홀더 상에 포지셔닝될 수 있고 기판 홀더에 의해 단단히 유지될 수 있다. 기판의 온도는 제어될 수 있거나 제어되지 않을 수 있다. 예를 들어, 기판은 막 형성 프로세스 동안 가열되거나 냉각될 수 있다. 기판을 둘러싸는 환경은 감소된 압력에서 유지되는 한편, GCIB는 하나 또는 그 이상의 막-형성 종을 포함하는 가압된 가스 혼합물로 형성된다. 상술한 바와 같이, 가압된 가스 혼합물은 가스-클러스터를 형성하기 위해 감소된 압력 환경 내로 팽창되고, 가스-클러스터가 이온화되고, 이온화 가스-클러스터가 가속화되고 임의선택적으로 필터링된다.
일 실시예에 따르면, 기판의 선택 표면상에만 재료를 선택적으로 증착하기 위해 GCIB가 활용된다. 예를 들어, GCIB는 GCIB의 입사 방향에 대해 기판을 배향함으로써 제공될 수 있고, 입사하는 GCIB에 실질적으로 수직인 하나 또는 그 이상의 표면 상에 재료 증착이 진행될 수 있는 한편 입사하는 GCIB에 실질적으로 평행한 하나 또는 그 이상의 표면 상에 재료 증착이 실질적으로 회피되거나 감소될 수 있다. 따라서, 일 실시예에 따르면, 중립 층은 가스 클러스터 이온의 GCIB 증착을 사용하여 이방성으로 증착될 수 있다.
따라서, GCIB의 입사 방향에 대해 기판의 배향을 후속적으로 조정하거나 각진 GCIB 노즐의 어레이를 활용하는 것은 다른 표면들 상에 증착이 진행하도록 허용하여 표면들은 입사하는 GCIB에 실질적으로 수직으로 배향된다. 더욱이, 빔 조성을 포함하는 GCIB의 하나 또는 그 이상의 다른 특성은 일 층으로부터 입사하는 GCIB에 실질적으로 수직인 하나 또는 그 이상의 표면들 상의 인접 층에 서로 다른 특성을 가지는 연속적인 재료 막을 방향성으로 증착하기 위해 조정되거나 교대될 수 있다.
B. 원자 층 증착 프로세서
본 발명의 다른 실시예에 따르면, 사전패턴 기판이 원자 층 증착(ALD) 프로세싱 시스템에 전달된다. 적절한 ALD 프로세싱 시스템의 예시적인, 그러나 비-제한적인 예가 미국 특허출원공보 제 2007/0037412 호, 제 2007/0237697 호 및 2005/0056219 호에 설명되고, 이 특허 문서의 전체 내용은 본원에 그 전체가 인용에 의해 포함된다.
ALD는 재료의 등각 박막을 변화하는 조성의 기판상에 증착하는 자기-제한적(각 반응 사이클에서 증착된 막 재료량이 일정함), 순차적 표면 화학이다. 자기-제한 및 표면 반응의 특성으로 인해, ALD 막 성장은 원자 스케일 증착 제어를 가능하게 한다. ALD는 일 실시예에서, 전구체 재료가 반응 동안 분리 상태를 유지하면서, ALD 반응이 CVD 반응을 2개의 절반-반응(half-reactions)으로 쪼개는 것을 제외하고, 화학 기상 증착(chemical vapor deposition: CVD)과 화학적으로 유사하다. 코팅 프로세스 전반에서 전구체를 분리 상태로 유지함으로써, 막 성장의 원자 층 제어가 사이클당 약 0.1 옹스트롬(angstroms)만큼 미세하게 획득될 수 있다. 프로세스 챔버로부터 과도 전구체를 제거하고 기판상의 기생 또는 비의도적 CVD 증착을 방지하기 위해 각 전구체 펄스 후에 정화 가스(purge gas)(전형적으로 질소 또는 아르곤)를 펄싱(pulsing)함으로써 전구체의 분리가 달성된다. 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물 및 탄화물 막과 같은 박막을 증착하기 위해 ALD가 전형적으로 사용된다. 그러나, 프로세스는 구체적으로 그에 제한되는 것은 아니다. 더욱이, ALD 증착 프로세스는 배치(batch) 프로세스로서 또는 단일 웨이퍼 프로세스에서 구현될 수 있다.
따라서, 본 실시예에 따르면, 실리콘-함유 가스, 탄소-함유 가스, 산소-함유 가스, 수소-함유 가스 또는 그 조합을 포함할 수 있는 제 1 전구체 가스로 ALD 증착을 사용하여 중립 층이 증착된다. 반응 챔버는 비-반응 제 1 전구체 가스를 제거하기 위해 정화되거나 비워질 수 있고, 그 후에 제 2 전구체 가스에 대해 기판을 노출하고, 다시 그 후에 반응 챔버를 정화하거나 비운다. 따라서, ALD 프로세스는 사전패턴화 포토레지스트 층 위에 중립 층을 등방성으로 증착할 수 있다.
실리콘-함유 가스 또는 실리콘 전구체는 실란, 알킬실란(alkylsilanes), 알킬아미노실란(alkylaminosilanes), 실라놀(silanols) 및 알콕시 실란(alkoxy silanes)을 포함할 수 있다. 예를 들어, 실리콘 전구체는 (Me2N)4Si, (Me2N)3SiH, (Me2N)2SiH2, (Me2N)SiH3, (Et2N)4Si, (Et2N)3SiH, SiH4, Si2H6, SiCl4, Si2Cl6, MeSiCl3, EtSiH3, Et2SiH2, MeSi(OH)3, Me2Si(OH)2, (EtO)4Si, 그 파생물 및 그 조합을 포함하지만 이들로 제한되는 것은 아니다. 다른 알콕시 실란이 일반 화학 공식 (RO)4- nSiLn에 의해 설명될 수 있고, 여기서 n=0-3, R= 메틸(methyl), 에틸(ethyl), 프로필(propyl) 또는 부틸(butyl) 및 L=H, OH, F, Cl, Br, 또는 I 및 그 조합이다. 실리콘 전구체로서 유용한 다른 알킬실란 화합물은 R4-nSiHn을 포함하고, 여기서 R은 독립적으로 메틸, 에틸, 프로필, 부틸, 또는 다른 알킬이고, n=0-3이다. 실리콘 전구체로서 유용한 다른 알킬실란 화합물은 (RR'N)4-nSiHn을 포함하고, 여기서 R 또는 R'은 독립적으로 수소, 메틸, 에틸, 프로필 또는 부틸이고 n=0-3이다. 또한, 본 발명의 일부 실시예 내에서 실리콘 전구체로서 더 높은 실란이 사용된다. 더 높은 실란은 미국 특허출원공보 제 2004/0224089 호에 개시되고, 이는 실리콘 전구체를 설명하는 목적을 위해 전체가 인용에 의해 본원에 포함된다. 일 실시예에서, 실리콘 전구체는 (Me2N)3SiH, (Et2N)3SiH, (Me2N)4Si, (Et2N)4Si, 및 (MeEtN)4Si를 포함한다. 임의선택적으로 Ar과 같은 불활성 가스가 또한 실리콘-함유 가스에 포함될 수 있다.
탄소-함유 가스 또는 탄소 전구체는 CO, CO2, H2CO, CxHy, CxFy, CxHyNz 또는 그 조합을 포함할 수 있지만, 이들에 제한되지 않고, 여기서 z, y, 및 z는 정수이고, 임의선택적으로 Ar과 같은 불활성 가스를 포함할 수 있다.
산소-함유 가스 또는 탄소 전구체는 O2, H2O, H2O2, NO, NO2, N2O, 오존 또는 플라즈마 여기 산소(plasma excited oxygen) 또는 그 조합, 그리고 Ar과 같은 불활성 가스를 포함할 수 있지만 이들로 제한되지 않는다.
산소 및 탄소를 중립 층 막 내로 통합하기 위해, 산소를 포함하는 적어도 하나의 가스 펄스 및 탄소를 포함하는 적어도 하나의 가스 펄스의 조합이 활용될 수 있다. 물론, CO, CO2, 또는 H2CO를 포함하는 가스는 산소 및 탄소 둘 다를 포함한다.
일 실시예에 따르면, 중립 층을 증착하기 위한 ALD 증착 프로세스 흐름은 제 1 가스 전구체의 펄스, 정화 가스의 펄스, 제 2 가스 전구체의 펄스, 정화 가스의 펄스, 제 3 가스 전구체의 펄스, 정화 가스의 펄스 등의 순차적 및 교대의 노출을 포함하는 증착 사이클을 포함하고 사이클은 반복될 수 있다. 본 발명의 다른 실시예에 따르면, 증착 사이클의 제 1, 제 2, 제 3 등의 가스 전구체의 순차적 및 교대 노출의 순서는 원하는 막 성장 및 막 조성에 영향을 미치기 위해 서로 다른 순서 및 빈도로 역전되거나 변화된다. 더욱이, 본 발명의 실시예에 따르면, 전구체 가스에 대한 순차적 노출의 각각은 미리 결정된 수의 횟수만큼 독립적으로 반복될 수 있다. 당업자가 용이하게 인식하는 바와 같이, 폭넓고 다양한 다른 증착 사이클이 가능하다. 예를 들어, 단지 2개의 가스 전구체만이 사용되는 경우에, 예시적인 증착 사이클은 예를 들어, ABBABB, AABAAB, ABBB, AAAB, AABB, AAABB, 등을 포함할 수 있다. 다른 예에서, 3개의 가스 전구체가 사용되는 경우에, 예시적인 증착 사이클은 ABCABCABC, AABBCC, ABABCBCBACAC, 등을 포함할 수 있지만, 이들에 제한되지 않는다. 그러나, A, B, C 등의 다른 조합이 활용될 수 있음에 따라, 본 발명의 실시예는 이들 증착 사이클에 제한되지 않는다. 이들 서로 다른 증착 사이클을 사용하면, 최종의 중립 층 막에서 Si, C, O 및/또는 H의 서로 다른 양 및 서로 다른 깊이 프로파일을 포함하는 중립 층 막을 증착할 수 있다.
상기에 주목된 바와 같이, 중립 층은 후속적인 DSA 패터닝에서 BCP의 하나 또는 그 이상의 블록 쪽에서의 화학적 특성에 기초하여 선택될 수 있다. 따라서, 제 1 전구체는 중립 층의 화학적 특성을 설정하기 위해 조정될 수 있다. 그러나, ALD 증착이 주로 2개의 상보적 반응물 전구체의 반응 층을 교대시키는 등각 적용이기 때문에, ALD는 도 3d에 도시되는 실시예에 대해 특히 적합하고, 여기서 균일하게 도포된 중립 층(312)이 형성될 수 있어, 레지스트 라인(308)의 수직 표면(308a)이 또한 중립 재료로 코팅된다. 따라서, ALD 증착 방법은 레지스트 라인(308)에 대해 중립 층 재료의 풀링을 실질적으로 최소화하거나 제거한다. 그와 같이, 스핀 코팅 중립 층에 의해 유발되는 토포그래피 변화가 회피되고, 차례로 후속적인 자동 조립 프로세스를 개선시킨다.
도 5에 도시된 바와 같이, 층형 기판을 패터닝하기 위한 방법은 중립 층 템플릿을 노출시키기 위해 포토레지스트 패턴 위에 증착되는 중립 층의 일부분을 리프팅 오프하는 단계(510); 중립 층 템플릿 위에 DSA 재료 층을 증착하는 단계(520); DSA 패턴을 형성하기 위해 DSA 재료 층을 베이킹하는 단계(530); 및 후속하는 피처 에칭을 위한 최종 DSA 패턴을 노출시키기 위해 DSA 재료 층을 디벨로핑하는 단계(540)를 더 포함할 수 있다.
단계(510)에 관하여, 테트라메틸 암모늄 수산화물(tetramethyl ammonium hydroxide(TMAH)) 디벨로퍼를 사용하여 또는 본 즉시 출원과 동일한 날짜에 출원되는 "TRACK PROCESSING TO REMOVE ORGANIC FILMS IN DIRECTED SELF-ASSEMBLY CHEMO-EPITAXY APPLICATIONS"란 명칭의 비공개 특허 출원에 설명되는 방법에 따라 중립 층의 일부분이 제거될 수 있다.
단계(520)에 관하여, BCP를 포함하는 DSA 재료는 예를 들어, 스핀-온 코팅(spin-on coating), 스핀 캐스팅(spin casting), 브러시 코팅(brush coating) 또는 기상 증착을 포함하는 다양한 방법에 의해 증착될 수 있다. 예를 들어, 블록 코폴리머는 예를 들어, 톨루엔(toluene)과 같은 캐리어 용제(carrier solvent)에서의 용액으로서 제공될 수 있다. 블록 코폴리머의 용액은 도 3f 또는 3g에 도시되는 층형 기판에 도포될 수 있고 캐리어 용제는 그 후에 제거된다.
본 발명은 이론에 구속되지 않는 한편, 재료의 상 분리와 유사한 프로세스에서의 열역학 고려사항으로 인해 셀프-어그리게이트(self-aggregate)하기 위해 서로 다른 블록 종이 알려짐이 인식될 것이다. 자기-조직화는 도 3f 또는 3g에서의 노출 구역(317 또는 319) 각각에 의해 가이드된다. 일반적으로 상술한 바와 같이, BCP 블록 중 하나는 노출된 하부 층(302)에 대해 화학 친화력을 가질 수 있고, 그에 의해 자동 조립 BCP의 도메인 중 하나가 이러한 개구(317 또는 319)에 피닝되게 유도한다. 도시되지 않더라도, 개구(317, 319)의 임계 치수뿐 아니라 나머지 중립 층 부분(316, 317)의 임계 치수는 자동 조립 BCP의 적절한 정렬뿐 아니라 피치 곱셈을 위해 중요하다.
단계(530)를 참조하면, 블록 코폴리머의 자동 조립을 용이하게 하기 위해 블록 코폴리머의 막이 어닐링(베이킹) 조건에 노출된다. 블록 코폴리머 또는 층형 기판(300)에 불리하게 영향을 미치는 것을 방지하기 위해 어닐링 프로세스의 온도는 충분히 낮게 선택될 수 있다. 어닐링은 일부 실시예에서 약 350℃ 보다 적은, 약 300℃ 보다 적은, 약 250℃ 보다 적은, 약 200℃ 또는 약 180℃ 보다 적은 온도에서 수행될 수 있다.
다른 실시예에 따르면, 어닐링 프로세스는 일반적으로 어닐링 온도를 감소시키는 용제 어닐링을 포함할 수 있다. 어닐링 프로세스는 또한 코폴리머의 크로스-링킹을 야기하여, 나중의 에칭 및 패턴 전달 단계를 위해 코폴리머를 안정화하기 위해 사용될 수 있다.
일 양상에 따르면, 블록 코폴리머의 유기 폴리머 블록을 산화시키거나 연소시키지 않고서 더 빠른 어닐링 시간을 용이하게 하기 위해, 약 1시간 보다 적은 어닐링 시간에서 약 250℃ 보다 큰 어닐링 온도에서의 낮은 산소 분위기에서 어닐링이 수행될 수 있다. 본원에 사용된 바와 같이, 낮은 산소 분위기는 약 50 ppm 보다 적은 산소를 포함한다. 예를 들어, 낮은 산소 분위기는 약 45 ppm 보다 적은, 약 40 ppm 보다 적은, 약 35 ppm 보다 적은, 약 30 ppm 보다 적은, 약 25 ppm 보다 적은, 약 20 ppm 보다 적은 또는 그 사이의 범위를 포함할 수 있다.
어닐링 시간은 약 수 시간 내지 약 1 분의 범위에 있을 수 있다. 예를 들어, 250℃를 초과하는 온도에 대한 어닐링 시간은 약 1시간 내지 2분, 약 30분 내지 약 2분, 또는 약 5분 내지 약 2분의 범위에 있을 수 있다.
일 실시예에 따르면, 어닐링 온도는 약 220℃ 내지 약 350℃ 의 범위내에 있을 수 있고, 여기서 낮은 산소 분위기는 약 50 ppm 보다 적은 산소를 포함한다. 예를 들어, 블록 코폴리머 막은 약 2 분 내지 약 5 분동안 약 40 ppm 보다 적은 산소에서 310℃ 의 어닐링 조건에 노출될 수 있다.
단계(540)를 참조하면, 블록 코폴리머의 막의 어닐링 단계의 완료 후에, 구별되는 도메인을 가지는 자동 조립 블록 폴리머의 층이 형성된다. 도메인 중 하나가 단일 에칭 화학을 사용하여 단일 단계에서 선택적으로 제거될 수 있거나 나머지 도메인에 의해 정의되는 패턴을 제공하기 위해 서로 다른 에칭 화학을 가지는 다수의 에칭을 사용하여 제거될 수 있음이 인식될 것이다. 예를 들어, 폴리스티렌(PS)-b-폴리메틸메타크릴레이트(polystyrene(PS)-b-polymethylmethacrylate(PMMA))의 자동 조립 블록 코폴리머의 경우에, PMMA 도메인은 뒤에 PS 라인을 가지는 패턴화 기판을 남겨두면서, 선택적 산소 플라즈마 에칭을 수행함으로써 제거될 수 있다.
최종 DSA-도출 패턴은 기본 기판(301)에 전달될 수 있다. 패턴 전달은 나머지 비에칭 도메인 피처에 대해 기판(301)의 재료 또는 재료들을 선택적으로 에칭하기 위해 적절한 에칭 화학을 사용하여 달성될 수 있다.
예시된 실시예들의 다양한 수정이 가능함이 인식될 것이다. 예를 들어, 예시 및 논의의 편의를 위해 다이블록 코폴리머의 문맥에서 논의되었지만, 코폴리머는 2개 또는 그 이상의 블록 종으로 형성될 수 있다. 추가로, 예시된 실시예의 블록 종이 서로 다른 모노머로 형성되는 한편, 블록 종은 모노머를 공유할 수 있다. 예를 들어, 블록 종은 그 일부가 동일한 서로 다른 모노머 세트로 형성될 수 있거나, 동일한 모노머지만 각 블록에서 다른 분포로 형성될 수 있다. 서로 다른 모노머 세트는 코폴리머의 자동 조립을 몰아갈 수 있는 서로 다른 특성을 가지는 블록을 형성한다.
추가로, 예시된 실시예는 집적 회로를 제조하기 위해 적용될 수 있는 한편, 본 발명의 실시예는 매우 작은 피처를 가지는 패턴의 형성이 바람직한 다양한 다른 애플리케이션에 적용될 수 있다. 예를 들어, 본 발명의 실시예는 X-레이(X-ray) 또는 임프린트(imprint) 리소그래피를 포함하는 다른 리소그래피 기술을 위한 격자, 디스크 드라이브(disk drives), 스토리지 매체(storage media) 또는 템플릿 또는 마스크를 형성하기 위해 적용될 수 있다. 예를 들어, 위상 변이(phase shift) 포토마스크는 위상 변이 재료 코팅을 가지는 막 스택을 가지는 기판을 패터닝함으로써 형성될 수 있다.
본 발명은 하나 또는 그 이상의 실시예의 설명에 의해 예시되었고, 실시예가 상당히 상세하게 설명되었지만, 실시예는 그와 같은 상세로 첨부되는 청구범위의 범위를 제약하거나 어떤식으로도 제한하려는 것이 아니다. 추가적인 장점 및 수정은 당업자에게 용이하게 나타날 것이다. 더 넓은 양상에서의 본 발명은 따라서 도시되고 설명되는 특정 상세, 대표적인 장치 및 방법 그리고 예시적인 예에 제한되지 않는다. 따라서, 개괄적 발명의 개념 범위로부터 이탈하지 않고서 그와 같은 상세로부터 일탈이 이루어질 수 있다.

Claims (17)

  1. 층형(layered) 기판을 패터닝(patterning)하기 위한 방법에 있어서,
    기판을 코터-디벨로퍼(coater-developer) 프로세싱 시스템 내로 로딩(loading)하는 단계;
    상기 기판상에 포토레지스트(photoresist) 재료 층을 형성하기 위해 포토레지스트 재료로 상기 기판을 코팅하는 단계;
    상기 기판상에 포토레지스트 패턴을 형성하기 위해 상기 포토레지스트 재료 층을 패터닝하는 단계;
    상기 기판을 증착(deposition) 프로세싱 시스템에 전달하는 단계; 및
    상기 기판의 포토레지스트 패턴 및 노출 부분 위에 중립 층을 증착하는 단계
    를 포함하고,
    상기 증착 프로세싱 시스템은 가스 클러스터 이온 빔(gas cluster ion beam: GCIB) 시스템이고, 상기 중립 층은 제 1 가스 혼합물로 형성되는 가스 클러스터 이온의 GCIB 증착을 사용하여 증착되는 것인, 층형 기판을 패터닝하기 위한 방법.
  2. 삭제
  3. 제1항에 있어서,
    상기 중립 층은 상기 기판의 상기 포토레지스트 패턴 및 노출 부분 위에 이방성으로 증착되는 것인, 층형 기판을 패터닝하기 위한 방법.
  4. 제1항에 있어서,
    상기 제 1 가스 혼합물은 적어도 하나의 C-함유 가스(C-containing gas)를 포함하는 것인, 층형 기판을 패터닝하기 위한 방법.
  5. 제1항에 있어서,
    상기 제 1 가스 혼합물은 적어도 하나의 O-함유 가스(O-containing gas)를 포함하는 것인, 층형 기판을 패터닝하기 위한 방법.
  6. 제1항에 있어서,
    상기 제 1 가스 혼합물은 적어도 하나의 H-함유 가스(H-containing gas)를 포함하는 것인, 층형 기판을 패터닝하기 위한 방법.
  7. 제1항에 있어서,
    상기 제 1 가스 혼합물은 적어도 하나의 Si-함유 가스(Si-containing gas)를 포함하는 것인, 층형 기판을 패터닝하기 위한 방법.
  8. 제1항에 있어서,
    상기 제 1 가스 혼합물은 후속적인 방향성 자동 조립(directed self-assembly: DSA) 패터닝을 위한 상기 중립 층의 화학 특성을 설정하도록 조정되는(tuned) 것인, 층형 기판을 패터닝하기 위한 방법.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 제1항에 있어서,
    상기 포토레지스트 재료의 패터닝에 후속하여 상기 포토레지스트 패턴을 트리밍(trimming)하는 단계를 더 포함하는, 층형 기판을 패터닝하기 위한 방법.
  16. 제1항에 있어서,
    후속하는 상기 중립 층의 증착에 대해 저항력 있는 상기 포토레지스트 패턴을 만들기 위해 상기 포토레지스트 재료의 패터닝에 후속하여 상기 포토레지스트 패턴을 경화시키는(hardening) 단계를 더 포함하는, 층형 기판을 패터닝하기 위한 방법.
  17. 제1항에 있어서,
    후속적인 방향성 자동 조립(DSA) 패터닝을 위한 중립 층 템플릿(template)을 노출시키기 위해, 상기 포토레지스트 패턴 위에 증착되는 상기 중립 층의 일부분을 리프팅 오프(lifting off)하는 단계;
    상기 중립 층 템플릿 위에 DSA 재료 층을 증착하는 단계;
    DSA 패턴을 형성하기 위해 상기 DSA 재료 층을 베이킹(baking)하는 단계; 및
    후속하는 피처 에칭을 위한 최종 DSA 패턴을 노출시키기 위해 상기 DSA 재료 층을 디벨로핑(developing)하는 단계
    를 더 포함하는, 층형 기판을 패터닝하기 위한 방법.
KR1020157028220A 2013-03-14 2014-03-14 방향성 자동 조립 애플리케이션에서의 중립 층 오버코트의 토포그래피 최소화 KR101691321B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361782518P 2013-03-14 2013-03-14
US61/782,518 2013-03-14
US14/208,130 US9147574B2 (en) 2013-03-14 2014-03-13 Topography minimization of neutral layer overcoats in directed self-assembly applications
US14/208,130 2014-03-13
PCT/US2014/026969 WO2014152116A1 (en) 2013-03-14 2014-03-14 Topography minimization of neutral layer overcoats in directed self-assembly applications

Publications (2)

Publication Number Publication Date
KR20150131133A KR20150131133A (ko) 2015-11-24
KR101691321B1 true KR101691321B1 (ko) 2016-12-29

Family

ID=51529012

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157028220A KR101691321B1 (ko) 2013-03-14 2014-03-14 방향성 자동 조립 애플리케이션에서의 중립 층 오버코트의 토포그래피 최소화

Country Status (5)

Country Link
US (1) US9147574B2 (ko)
JP (1) JP6139011B2 (ko)
KR (1) KR101691321B1 (ko)
TW (1) TWI560746B (ko)
WO (1) WO2014152116A1 (ko)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10825685B2 (en) * 2010-08-23 2020-11-03 Exogenesis Corporation Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
JP6027912B2 (ja) * 2013-02-22 2016-11-16 東京応化工業株式会社 相分離構造を含む構造体の製造方法、及びパターン形成方法、並びにトップコート材料
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
JP6234271B2 (ja) * 2014-02-25 2017-11-22 東京エレクトロン株式会社 被処理体を処理する方法
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9659768B2 (en) * 2014-12-23 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Focused radiation beam induced thin film deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9915866B2 (en) * 2015-11-16 2018-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focused radiation beam induced deposition
WO2017184357A1 (en) 2016-04-18 2017-10-26 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) * 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
WO2018067671A1 (en) * 2016-10-04 2018-04-12 Brewer Science Inc. Chemically patterned guide layers for use in chemoepitaxy directing of block co-polymers
US9632408B1 (en) 2016-10-12 2017-04-25 International Business Machines Corporation Graphoepitaxy directed self assembly
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10510538B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing EUV-induced material property changes
JP6822561B2 (ja) 2016-11-30 2021-01-27 エルジー・ケム・リミテッド 積層体
CN110050328A (zh) 2016-12-15 2019-07-23 Asm Ip控股有限公司 半导体处理设备
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
JP6896447B2 (ja) * 2017-02-14 2021-06-30 株式会社Screenホールディングス 基板処理方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10157740B1 (en) * 2017-06-15 2018-12-18 Applied Materials, Inc. Selective deposition process utilizing polymer structure deactivation process
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US12006570B2 (en) * 2017-08-31 2024-06-11 Uchicago Argonne, Llc Atomic layer deposition for continuous, high-speed thin films
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US20190368040A1 (en) 2018-06-01 2019-12-05 Asm Ip Holding B.V. Infiltration apparatus and methods of infiltrating an infiltrateable material
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
US20230317761A1 (en) * 2020-08-26 2023-10-05 Sumco Corporation Epitaxial silicon wafer, method for producing same, and method for producing semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100055621A1 (en) 2008-09-03 2010-03-04 Shin-Etsu Chemical Co., Ltd. Patterning process
US20100227276A1 (en) * 2009-03-09 2010-09-09 Hitachi-Kokusai Electric Inc. Method of manufacturing semiconductor device
US20110244402A1 (en) 2010-03-31 2011-10-06 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
WO2012084558A1 (en) * 2010-12-23 2012-06-28 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5650261A (en) 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
JP3407289B2 (ja) * 1991-05-13 2003-05-19 セイコーエプソン株式会社 電子放出装置およびその駆動方法
US5344742A (en) 1993-04-21 1994-09-06 Shipley Company Inc. Benzyl-substituted photoactive compounds and photoresist compositions comprising same
JPH1130711A (ja) * 1997-07-11 1999-02-02 Canon Inc 回折光学素子及びその製造方法及び光学機器
US6960082B2 (en) 1999-12-24 2005-11-01 Ivoclar Vivadent Ag Atraumatic approximal space dilator
TW501181B (en) 2001-04-04 2002-09-01 Chartered Semiconductor Mfg Removal of organic anti-reflection coatings in integrated circuits
KR20030029053A (ko) 2001-04-05 2003-04-11 아치 스페셜티 케미칼즈, 인코포레이티드 포토레지스트용 퍼플루오로알킬설폰산 화합물
NZ513637A (en) 2001-08-20 2004-02-27 Canterprise Ltd Nanoscale electronic devices & fabrication methods
JP3892792B2 (ja) 2001-11-02 2007-03-14 大日本スクリーン製造株式会社 基板処理装置および基板洗浄装置
US6632960B2 (en) 2002-06-21 2003-10-14 Goldschmidt Ag Diaryliodonium salt catalysts made from iodotoluene and a method for preparing them
JP3993048B2 (ja) 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 基板処理装置
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
CA2511979A1 (en) 2003-02-19 2004-09-02 Akira Matsumoto Halogenated oxime derivatives and the use thereof as latent acids
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US20050215713A1 (en) 2004-03-26 2005-09-29 Hessell Edward T Method of producing a crosslinked coating in the manufacture of integrated circuits
WO2005101468A1 (ja) 2004-04-13 2005-10-27 Tokyo Electron Limited リンス処理方法および現像処理方法
JP4343018B2 (ja) 2004-04-20 2009-10-14 東京エレクトロン株式会社 基板の処理方法及び基板の処理装置
JP2008506749A (ja) 2004-07-20 2008-03-06 チバ スペシャルティ ケミカルズ ホールディング インコーポレーテッド オキシム誘導体および潜在酸としてのそれらの使用
US20070184656A1 (en) * 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7354692B2 (en) 2005-05-09 2008-04-08 International Business Machines Corporation Photoresists for visible light imaging
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
WO2007063690A1 (en) 2005-12-02 2007-06-07 Canon Kabushiki Kaisha Liquid discharge head producing method
JP2007172724A (ja) * 2005-12-21 2007-07-05 Victor Co Of Japan Ltd スタンパの製造方法
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8147914B2 (en) 2007-06-12 2012-04-03 Massachusetts Institute Of Technology Orientation-controlled self-assembled nanolithography using a block copolymer
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7763319B2 (en) 2008-01-11 2010-07-27 International Business Machines Corporation Method of controlling orientation of domains in block copolymer films
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7754518B2 (en) 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US20100193898A1 (en) * 2009-02-04 2010-08-05 Tel Epion Inc. Method for forming trench isolation using gas cluster ion beam processing
US8097860B2 (en) 2009-02-04 2012-01-17 Tel Epion Inc. Multiple nozzle gas cluster ion beam processing system and method of operating
US8981322B2 (en) 2009-02-04 2015-03-17 Tel Epion Inc. Multiple nozzle gas cluster ion beam system
US20100200774A1 (en) * 2009-02-09 2010-08-12 Tel Epion Inc. Multi-sequence film deposition and growth using gas cluster ion beam processing
JP5448536B2 (ja) 2009-04-08 2014-03-19 東京エレクトロン株式会社 レジスト塗布現像装置およびレジスト塗布現像方法、並びにレジスト膜処理装置およびレジスト膜処理方法
US8349203B2 (en) 2009-09-04 2013-01-08 International Business Machines Corporation Method of forming self-assembled patterns using block copolymers, and articles thereof
US8828493B2 (en) 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8435728B2 (en) 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8338806B2 (en) * 2010-05-05 2012-12-25 Tel Epion Inc. Gas cluster ion beam system with rapid gas switching apparatus
US8696918B2 (en) 2010-05-05 2014-04-15 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5820676B2 (ja) * 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
WO2012071330A1 (en) 2010-11-24 2012-05-31 Dow Corning Corporation Controlling morphology of block copolymers
KR101891987B1 (ko) 2011-05-31 2018-08-28 엘지디스플레이 주식회사 유기 발광장치 및 그 제조방법
WO2012175342A2 (en) 2011-06-23 2012-12-27 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
NL2008951A (en) 2011-06-23 2013-01-02 Asml Netherlands Bv Self -assemblable polymer and methods for use in lithography.
KR101890425B1 (ko) 2011-07-14 2018-08-22 삼성디스플레이 주식회사 포토레지스트 박리용 조성물 및 이를 이용한 표시 기판의 제조 방법
KR101929865B1 (ko) 2011-07-18 2018-12-17 에이에스엠엘 네델란즈 비.브이. 디바이스 리소그래피에 사용하기 위한 자기-조립성 폴리머를 위한 템플릿의 제공 방법
KR101999870B1 (ko) 2011-09-15 2019-10-02 위스콘신 얼럼나이 리서어치 화운데이션 화학적으로 패턴화된 표면과 제2 표면 사이의 블록 공중합체 막의 유도 조립
US8900941B2 (en) * 2012-05-02 2014-12-02 Globalfoundries Inc. Methods of forming spacers on FinFETs and other semiconductor devices
DE102012105384A1 (de) 2012-06-21 2012-09-06 AP&S International GmbH Lift-off-Verfahren und Vorrichtung zum Durchführen des Lift-off-Verfahrens
KR102003334B1 (ko) 2012-09-04 2019-07-24 삼성전자주식회사 패턴 형성 방법
US8715917B2 (en) 2012-10-04 2014-05-06 International Business Machines Corporation Simultaneous photoresist development and neutral polymer layer formation
US8956808B2 (en) 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100055621A1 (en) 2008-09-03 2010-03-04 Shin-Etsu Chemical Co., Ltd. Patterning process
US20100227276A1 (en) * 2009-03-09 2010-09-09 Hitachi-Kokusai Electric Inc. Method of manufacturing semiconductor device
US20110244402A1 (en) 2010-03-31 2011-10-06 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
WO2012084558A1 (en) * 2010-12-23 2012-06-28 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography

Also Published As

Publication number Publication date
KR20150131133A (ko) 2015-11-24
TW201501176A (zh) 2015-01-01
JP2016522979A (ja) 2016-08-04
US9147574B2 (en) 2015-09-29
TWI560746B (en) 2016-12-01
US20140273514A1 (en) 2014-09-18
WO2014152116A1 (en) 2014-09-25
JP6139011B2 (ja) 2017-05-31

Similar Documents

Publication Publication Date Title
KR101691321B1 (ko) 방향성 자동 조립 애플리케이션에서의 중립 층 오버코트의 토포그래피 최소화
JP6691195B2 (ja) 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
CN107210197B (zh) 用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案
KR101320287B1 (ko) 금속 산화물을 자기 조립된 블록 코폴리머에 선택적으로 투과시키기 위한 방법, 금속 산화물 구조체의 형성 방법, 및 이를 포함하는 반도체 구조체
CN104364713B (zh) 利用嵌段共聚物形成图案及制品
KR101284422B1 (ko) 기판 상에 형성된 반원통 어레이의 그래포에피택셜 자기 조립
US8450418B2 (en) Methods of forming block copolymers, and block copolymer compositions
KR102047140B1 (ko) 블록-공중합체를 이용하는 에칭 방법
US20160319427A1 (en) Patterned block copolymer structure with oxide lines for line density multiplication
US20110059299A1 (en) Method of Forming Self-Assembled Patterns Using Block Copolymers, and Articles Thereof
US9029271B2 (en) Methods of patterning block copolymer layers
US8975009B2 (en) Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
CN111261586B (zh) 一种中孔半导体纳米结构的制作方法
US10784108B2 (en) Method for forming a functionalised assembly guide
Tallegas et al. Block copolymer technology applied to nanoelectronics
JP2019519105A (ja) グラフォエピタキシーによるブロック共重合体の誘導自己組織化のための方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191217

Year of fee payment: 4