TW202003914A - 滲入設備及滲入可滲性材料之方法 - Google Patents

滲入設備及滲入可滲性材料之方法 Download PDF

Info

Publication number
TW202003914A
TW202003914A TW108117489A TW108117489A TW202003914A TW 202003914 A TW202003914 A TW 202003914A TW 108117489 A TW108117489 A TW 108117489A TW 108117489 A TW108117489 A TW 108117489A TW 202003914 A TW202003914 A TW 202003914A
Authority
TW
Taiwan
Prior art keywords
precursor
reaction chamber
permeable material
item
patent application
Prior art date
Application number
TW108117489A
Other languages
English (en)
Other versions
TWI826451B (zh
Inventor
克日什托夫 卡米爾 卡赫爾
艾莉娜 富朗
Original Assignee
荷蘭商Asm 智慧財產控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm 智慧財產控股公司 filed Critical 荷蘭商Asm 智慧財產控股公司
Publication of TW202003914A publication Critical patent/TW202003914A/zh
Application granted granted Critical
Publication of TWI826451B publication Critical patent/TWI826451B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明揭露一種滲入設備。該滲入設備可包括:一反應室,其經建構並配置成用以固持其上設有一可滲性材料之至少一基板;一第一前驅物源,其經建構並配置蒸氣;一前驅物分佈系統及移除系統,其經建構並配置成用以向該反應室提供來自該第一前驅物源之該第以向該反應室提供來自該第一前驅物源之該第一前驅物之該蒸氣,並用以將該第一前驅物之該蒸氣從該反應室移除;以及一順序控制器,其以可操作方式連接至該前驅物分佈系統及移除系統,並包括設有一程式之一記憶體,以於該順序控制器上運作時藉由以下來執行對該可滲性材料之滲透:啟動該前驅物分佈系統及移除系統,以提供該第一前驅物之該蒸氣至該反應室中該基板上之該可滲性材料,以藉由該第一前驅物之該蒸氣與該可滲性材料之反應,使該反應室中該基板上之該可滲性材料被矽原子滲入。本發明亦提供滲入方法及包含有被滲材料之半導體裝置結構。

Description

滲入設備及滲入可滲性材料之方法
本發明大致上係關於一種滲入設備,尤其是構造成用以將矽原子滲入可滲性材料之滲入設備。本發明大致上亦關於一種滲入可滲材料之方法。
隨著趨勢將半導體裝置結構推向越來越小的尺寸,出現了不同的圖案化技術。此等技術包括自對準多重圖案化、間隔物定義四倍圖案化、深紫外線微影(DUV)、極紫外線微影(EUV),以及DUV/EUV與間隔物定義雙倍圖案化相結合。另外,定向自組裝(DSA)已被視為未來微影術應用之選項。
上文所描述之圖案化技術可利用至少一種設於基板上之聚合物阻劑以實現基板之高解析度圖案化。為了滿足高解析度與低線邊緣粗糙度二者之需求,聚合物阻劑通常可為薄層。然而,此類薄聚合物阻劑可能具有若干缺點。尤其,高解析度聚合物阻劑可能具有低抗蝕刻性,即高蝕刻速率。聚合物阻劑之低抗蝕刻性使得圖案化阻劑轉印至下層更加困難。當先進的高解析度聚合物阻劑需進一步縮小尺寸時,低抗蝕刻性的問題變大,因為聚合物阻劑可能具有甚至更低的抗蝕刻性及蝕刻選擇性。
在一些應用中,將聚合物阻劑之圖案轉印至硬質光罩可能為有利的。硬質光罩為半導體處理中用來作為蝕刻遮罩之材料,以代替聚合物或其他有機「軟質」阻劑材料,或是除了聚合物或其他有機「軟質」阻劑材料之外所使用的材料。相較於聚合物阻劑,硬質光罩材料一般具有較高的抗蝕刻性及較高的蝕刻選擇性。然而,甚至是硬質光罩,其蝕刻速率也可能需要再被優化。
據此,亟需具有優異特性(如獲得改善的抗蝕刻性)的聚合物阻劑及硬質光罩。
本發明內容以簡化形式來介紹一系列之概念。這些概念會在下面本發明的示例實施例之詳細敘述中做進一步詳述。本發明內容沒有意欲要確認所主張之標的的關鍵特徵或必要特徵,亦沒有意欲用來限制所主張之標的的範圍。
一些實施例中揭露了一種滲入設備。該滲入設備可包括:一反應室,其經建構並配置成用以固持其上設有一可滲性材料之至少一基板;一第一前驅物源,其經建構並配置成用以提供包括有矽化合物之一第一前驅物的蒸氣;一前驅物分佈系統及移除系統,其經建構並配置成用以向該反應室提供來自該第一前驅物源之該第一前驅物之該蒸氣,並用以將該第一前驅物之該蒸氣從該反應室移除;以及一順序控制器,其以可操作方式連接至該前驅物分佈系統及移除系統,並包括設有一程式之一記憶體,以於該順序控制器上運作時藉由以下來執行對該可滲性材料之滲透:啟動該前驅物分佈系統及移除系統,以提供該第一前驅物之該蒸氣至該反應室中該基板上之該可滲性材料,以藉由該第一前驅物之該蒸氣與該可滲性材料之反應,使該反應室中該基板上之該可滲性材料被矽原子滲入。
一些實施例中提供了一種滲入可滲性材料之方法。該方法可包括:提供其上設有該可滲性材料之一基板於一反應室中;於一第一時間段(T1 ),提供包括有矽化合物之一第一前驅物至該可滲性材料,以使反應室內之基板上的可滲性材料被矽原子滲入;以及於一第二時間段(T2 ),沖洗該反應室。
為了概述本發明及相較於習知技藝所實現之優點,本發明之某些目的及優點於此已描述於上文中。當然,應明瞭無須根據本發明之任何特定實施例來達成所有該等目的或優點。因此,例如,熟悉該項技藝者將認識到,本發明可以以實現或最佳化本文所教示或建議之一個優點或一組優點而不一定實現本文可能教示或建議之其他目的或優點的方式來具體化或實施。
所有這些實施例皆意欲在本文所揭露之本發明的範圍內。根據下面參考所附圖式之某些實施例的詳細描述,這些及其他實施例對熟悉該項技藝者將變得顯而易見,本發明並非侷限於所揭露之任何特定實施例。
雖然在下文中揭露特定實施例及實例,但是該項技藝者可以理解,本發明延伸超出本發明所具體揭露之實施例及/或用途及其明顯修改及其均等物。因此,意指所揭露之本發明的範圍不應受限於下文所描述之特定揭露的實施例。
本文呈現的圖示並不是意味著任何特定材料、結構或裝置的實際視圖,而僅係用於描述本發明之實施例的理想圖示。
如本文所使用,術語「基板」可指可使用或在其上可形成裝置、電路或膜之任何下層材料。
如本文所使用,術語「可滲性材料(infiltrateable material)」可指可引入額外物質(如原子、分子或離子)的材料。
如本文所使用,術語「半導體裝置結構」可指經處理或部分經處理之半導體結構的任何部分,其就是、包含或定義出待形成於半導體基板上或半導體基板內之半導體裝置的主動或被動組件之至少一部分。例如,半導體裝置結構可包含積體電路之主動及被動組件,舉例如電晶體、記憶體元件、轉換器、電容器、電阻器、導線、導電盲孔及導電接觸墊。
在本發明的整個實施例中給出一些實例材料,應注意針對每個實例材料所給出之化學式不應被視為限制性且所給出之非限制性實例材料不應受給定的實例化學計量所限制。
本發明包含滲入設備及滲入方法,其可用以增加材料之抗蝕刻性,該材料舉例如,聚合物阻劑及硬質光罩材料,用來作為半導體裝置製程中之蝕刻遮罩。
滲入製程,舉例如依序滲入合成(SIS),已顯示可透過使用無機保護組分來修飾有機材料,以提高各種有機材料的抗蝕刻性。例如,SIS製程利用聚合物阻劑交替暴露至氣相前驅物,使氣相前驅物滲入有機阻劑材料,以於阻層內形成保護組分。該SIS製程及其使用描述於美國專利申請案第 2012/0241411號中,該案以引用的方式併入本文。因此,滲入製程與高解析度聚合物阻劑及硬質光罩圖案化之結合可提供以往未見於先前方法之益處,如美國專利申請案 第US2014/0273514號中所述。
先前的滲入製程通常涉及金屬氧化物(舉例如氧化鋁(Al2 O3 ))滲入高解析度聚合物阻劑中。例如,90°C基板溫度下之三甲基鋁(TMA)與水(H2 O)的交替脈衝可使氧化鋁滲入設於基板上之高解析度聚合物阻劑內。然而,於一些半導體裝置應用中,使用金屬氧化物作為滲入材料可能不甚理想。例如,使用氧化鋁作為滲入材料可能在電漿蝕刻設備中導致不希望發生的記憶效應,此外,剩餘的氧化鋁可能難以移除。據此,亟需可將替代材料/物質滲入高解析度聚合物阻劑及硬質光罩材料中之滲入設備及製程。
因此,本發明之一些實施例揭露一種滲入設備。於一些實施例中,該滲入設備可包括:一反應室,其經建構並配置成用以固持其上設有可滲性材料之至少一基板;一第一前驅物源,其經建構並配置成用以提供包括有矽化合物之第一前驅物的蒸氣;一前驅物分佈系統及移除系統,其經建構並配置成用以向該反應室提供來自第一前驅物源之第一前驅物之蒸氣,並用以將第一前驅物之蒸氣從反應室移除;以及一順序控制器,其以可操作方式連接至該前驅物分佈系統及移除系統,並包括設有一程式之一記憶體,以於該順序控制器上運作時藉由以下來執行對該可滲性材料之滲透:啟動該前驅物分佈系統及移除系統,以提供第一前驅物之蒸氣至反應室中該基板上之可滲性材料,以藉由第一前驅物之蒸氣與可滲性材料之反應,使反應室中該基板上之可滲性材料被矽原子滲入。
本發明滲入設備之非限定實例繪示於圖1中,其包括本發明實施例之例示性滲入設備100之示意圖。應注意的是,圖1中所繪示的滲入設備100為例示性滲入設備之簡化示意性版本,且並不含有可用於本發明之滲入設備之製造中的每一個元件,亦即,諸如每一個閥、氣體管線、加熱元件及反應器組件等。圖1所示的滲入設備提供滲入設備的關鍵特徵,以向本領域技術人員提供足夠之揭示以理解本發明實施例。
該例示性滲入設備100可包括一反應室102,其經建構並配置成用以固持其上設有一可滲性材料106之至少一基板104。
可用以對可滲性材料進行滲入之反應室可用於本文所述之滲入製程中。此等反應室可包含構造成用以進行原子層沉積(ALD)製程之反應室及構造成用以進行化學氣相沉積(CVD)製程之反應室。依據一些實施例,可以使用噴灑頭式反應室。依據一些實施例,可以使用交叉流動式、批次式、小型批次式或空間式ALD反應室。
在本發明之一些實施例中,可使用批式反應室。在一些實施例中,可使用垂直批次式反應器。在其他實施例中,批次式反應室包括小型批次式反應器,其構造成容納10個或更少晶圓、8個或更少晶圓、6個或更少晶圓、4個或更少晶圓或者2個或更少晶圓。
本文中所描述之滲入製程可視情況在連接至群集工具的反應器或反應室中進行。在集束型製程設備中,因為每個反應室專用於一種類型的製程,所以每個模組中之反應室的溫度可維持恆定,其相較於在每次運轉前將基板加熱至製程溫度的反應器可改善生產量。此外,在集束型製程設備中,可減少將反應室泵至基板間所要的製程壓力位準之時間。在本發明之一些實施例中,滲入製程及蝕刻製程兩者皆可在包括多個反應室之集束型製程設備中進行,其中可使用每個個別反應室,使基板暴露於個別的前驅氣體/電漿化學,並且基板可以在不同的反應室之間輸送,以便暴露於多種前驅氣體及/或電漿化學,基板的輸送係在受控環境下進行,以防止基板的氧化/污染。在本發明之一些實施例中,滲入製程及蝕刻製程可以在包括多個反應室之集束型製程設備中進行,其中每個個別反應室可以構造成將基板加熱至不同溫度。
獨立式滲入設備可用以包含有一反應室,該反應室可建構並配置成用以獨立進行滲入製程,並可配備有負載鎖定。在該情況下,在各次運轉之間不需冷卻反應室。
設置於反應室102內可以是至少一基板104,其上設有一可滲性材料106,即設於基板104之上表面上。在本發明之一些實施例中,基板104可以包含平面基板(如圖1所說明)或圖案化基板。基板104可以包含一種或多種材料,包括但不限於矽(Si)、鍺(Ge)、鍺錫(GeSn)、矽鍺(SiGe)、矽鍺錫(SiGeSn)、碳化矽(SiC)或第III族-第V族半導體材料,例如砷化鎵(GaAs)、磷化鎵(GaP)或氮化鎵(GaN)。在本發明之一些實施例中,基板104可以包含工程化基板,其中表面半導體層設置在塊體支撐件上方,其間設置有插入的掩埋氧化物(BOX)。
圖案化基板可以包括如下基板:其可以包含形成在基板表面之中或之上的半導體裝置結構,例如,圖案化基板可以包括部分製造的半導體裝置結構,諸如電晶體及/或記憶體元件。在一些實施例中,基板可含有單晶表面及/或一個或多個可包含非單晶表面(諸如多晶表面及/或非晶表面)之次表面。單晶表面可包含例如矽(Si)、矽鍺(SiGe)、鍺錫(GeSn)或鍺(Ge)中之一者或多者。多晶或非晶表面可包括介電材料,諸如氧化物、氮氧化物或氮化物,諸如氧化矽及氮化矽。
於本發明之一些實施例中,基板104具有一可滲性材料106設於其上,即設於基板104之上表面上。可滲性材料106可包括可供額外物質滲入其中之任何材料,當將額外物質引入可滲性材料106時,可提高可滲性材料106之抗蝕刻性。於本發明之一些實施例中,該可滲性材料106可包括至少一聚合物阻劑,舉例如光阻、極紫外線微影(EUV)阻劑、浸液光阻、化學放大阻劑(CAR)、或電子束阻劑(如聚甲基丙烯酸甲酯(PMMA))。於本發明之一些實施例中,該可滲性材料106可包括一多孔材料,如微孔及/或奈米孔,其包含有舉例如旋塗玻璃(spin-on-glasses, SOG)及旋塗碳(spin-on-carbon,SOC)之多孔材料。於本發明之一些實施例中,該可滲性材料106可包括一或更多硬質光罩材料,其包括但不限於,氧化矽、氮化矽及氮氧化矽。
該可滲性材料106可包括一圖案化可滲性材料,其包括一或更多可滲性特徵,此可滲性特徵可於後續蝕刻製程期間轉印至下層基板。該可滲性特徵可包括可根據曝光及相關顯影製程形成之任何幾何形狀,其可包括但不限於,線特徵、區塊特徵、開孔特徵及圓形特徵。
該基板104可設於反應室102內,並透過晶座108固持於位置上,該晶座108係構造成用以使至少一基板保持於其上。於本發明之一些實施例中,本文所揭露之滲入設備可利用將基板104及其相關可滲性材料106加熱至適當處理溫度之製程。因此,該晶座108可包括一或更多加熱元件110,其可構造成用以將其上設有可滲性材料106之基板104加熱至大於約0°C、或大於約100°C、或大於約200°C、或大於約300°C、或大於約400°C、或甚至大於約450°C之溫度。
於本發明之一些實施例中,該例示性滲入設備100可包括一氣體輸送系統112,其可進一步包括一或更多前驅物源114A及114B,其中前驅物源114A及114B經建構且配置成用以提供若干前驅物之蒸氣並將相關之蒸氣配送至反應室102。該氣體輸送系統112亦可包括一源容器116,其構造成用以貯存及配送沖洗氣體,此沖洗氣體可用於本文所述之例示性滲入製程之沖洗循環中。該氣體輸送系統112亦可包括一反應物源容器118,其構造成用以容置並配送反應物至反應室中102,以用於本文所述之例示性滲入製程。作為非限定實例說明,該滲入設備100可包含一第一前驅物源114A,其經建構且配置成用以提供包括有矽化合物之第一前驅物蒸氣。於一些實施例中,該第一前驅物源114A可包括一第一前驅物蒸發器,其經建構並配置成用以蒸發包括有矽化合物之第一前驅物。
於一些實施例中,該第一前驅物源114A可包括一源容器,其構造成用以於適當操作條件下貯存並容置第一前驅物。舉例說明,該第一前驅物可包括固相前驅物、液相前驅物或氣相前驅物,而該源容器可構造成用以於適當操作條件下貯存並容置固相、液相、氣相前驅物。於一些實施例中,該第一前驅物可包括液態矽化合物,且第一前驅物可包括第一前驅物蒸發器,其可包含一或多個可控加熱元件,可將第一前驅物加熱至適當操作溫度,因而得以可控地蒸發一部分的第一前驅物,接著藉由適當手段,使蒸發的蒸氣分佈至反應室102,以滲入可滲性材料。於一些實施例中,與第一前驅物源114相連之一或更多加熱元件可構造成用以控制第一前驅物之蒸氣壓力。此外,流量控制器120A(舉例如質流控制器,MFC)更可與第一前驅物源114相連,並可構造成用以控制自第一前驅物源114A(舉例如第一前驅物蒸發器)產生之蒸氣的質流。除了流量控制器120A之外,閥122A(如截流閥)可與第一前驅物源114A相連,並可用於阻絕第一前驅物源114A與反應室102,亦即,當閥122A處於關閉位置時,可防止第一前驅物源114Aㄌ所產生的蒸氣流入反應室102中。
於額外的實施例中,第一前驅物源114A更可包括一載氣輸入(圖未示),使得載氣(如氮氣)可通過或鼓泡通過第一前驅物,據此第一前驅物可變成夾帶於載氣中,且載氣/第一前驅物蒸氣隨後可藉由適當手段輸送至反應室102。
於一些實施例中,該第一前驅物源114A可經建構且配置成用以提供包括有矽化合物之第一前驅物蒸氣。例如,該第一前驅物源114A可包括一第一前驅物蒸發器,其經建構並配置成用以蒸發一部分的第一前驅物,因而產生包括有矽化合物之第一前驅物蒸氣。於一些實施例中,該第一前驅物源114A可經建構且配置成用以提供經取代矽烷之蒸氣。於一些實施例中,該第一前驅物源114A可經建構並配置成用以提供胺基矽烷之蒸氣。於一些實施例中,該第一前驅物源可經建構且配置成用以提供包括有3-胺基丙基及矽之化合物蒸氣,即包括有3-胺基丙基組成及矽組成之矽前驅物。
於一些實施例中,該第一前驅物源114A可經建構且配置成用以提供3-胺基丙基三乙氧基矽烷(APTES)之蒸氣。例如,該第一前驅物源114A可包括一第一前驅物蒸發器,其經建構並配置成用以蒸發3-胺基丙基三乙氧基矽烷(APTES)。舉例說明,APTES可貯存並容置於適當源容器中,且可利用相關加熱元件,以將APTES加熱至大於0°C、或大於90°C、或甚至大於230°C之溫度,以蒸發一部分的APTES,因而產生適於滲入可滲性材料之汽化第一前驅物。
於一些實施例中,該第一前驅物源114A可經建構且配置成用以提供3-胺基丙基三甲氧基矽烷(APTMS)之蒸氣。例如,該第一前驅物源114A可包括一第一前驅物蒸發器,其經建構並配置成用以蒸發3-胺基丙基三甲氧基矽烷(APTMS)。舉例說明,APTMS可貯存並容置於適當源容器中,且可利用相關加熱元件,以將APTMS加熱至大於0°C、或大於90°C、或甚至大於230°C之溫度,以蒸發一部分的APTMS,因而產生適於滲入可滲性材料之汽化第一前驅物。
於本發明之一些實施例中,該第一前驅物源114A可經建構且配置成用以提供包括有烷氧配位基及烷氧配位基以外之額外配位基的矽前驅物蒸氣。例如,該第一前驅物源114A可包括一第一前驅物蒸發器,其可經建構且配置成用以蒸發包括有烷氧配位基及烷氧配位基以外之額外配位基的矽前驅物。
於一些實施例中,該第一前驅物源114A可經建構且配置成用以提供包括有接至矽原子且經胺基取代之烷基的矽前驅物蒸氣。作為本發明非限定例示性之實施例說明,第一前驅物源114(如第一前驅物蒸發器)可經建構並配置成用以提供具有通式(I)-(III)之矽前驅物蒸氣; A-R0 -Si-L1 -L2 -L3 (I) A-R0 -Si-(OR1 )(OR2 )(OR3 ) (II) H2 N-R-Si-(OR1 )(OR2 )(OR3 ) (III) 其中A為碳鏈之取代基,舉例如NH2 、NHR、NR2、或OR,且R為碳鏈骨架,舉例如C1-C5烷基,而L為NR2(烷胺基)、烷氧基(OR)、鹵素或氫。
於本發明之一些實施例中,該第一前驅物源114A可經建構且配置成用以提供包括有鹵化物之矽化合物蒸氣,舉例如矽鹵化物、鹵化矽烷或包括有鹵化物之矽烷。於一些實施例中,該矽化合物包括氯,舉例如六氯矽烷(HCDS)、二氯矽烷(DCS)、或四氯化矽(SiCl4 )之至少一者。作為本發明非限定例示性之實施例說明,第一前驅物源114可經建構並配置成用以提供具有通式(IV)-(VI)之矽前驅物蒸氣; Sin X2n+2 (其中n為1至4) (IV) Sin X2n+2-w Lw (其中n為1至4,w為0至4) (V) Sin X2n+2-w-y Lw Hy (其中n為1至4,w為0至4-y,y為0至4-w) (VI) 其中X為鹵素,如氟(F)、氯(Cl)、溴(Br)、或碘(I),且L為NR2(烷胺基)、烷氧基(OR)、鹵素或氫,且H為氫。
於本發明之一些實施例中,第一矽前驅物存於適當源容器中時可能已經呈蒸氣態,而前驅物源可藉由提高或降低相關源容器中之氣相矽前驅物之溫度,以控制氣相矽前驅物之蒸氣壓力。因此,需了解的是本發明之前驅物源可用以容置並配送氣相反應物,及固相、液相或混合相反應物。
於本發明之一些實施例中,該例示性滲入設備100(圖1)可包括一前驅物分佈及移除系統,其經建構且配置成用以向該反應室102提供來自該第一前驅物源114A之第一前驅物蒸氣,並自該反應室102移除第一前驅物蒸氣。
更詳言之,該前驅物分佈系統可包括氣體輸送系統112及一或更多氣體管線,舉例如與第一前驅物源114A流體連通之氣體管線124、與第二前驅物源114B流體連通之氣體管線126、與源容器116流體連通之氣體管線128、及與反應物源容器118流體連通之氣體管線130。作為非限定實例說明,該氣體管線124係流體連接至第一前驅物源114A,並可構造成用以將第一前驅物蒸氣傳送至反應室102。
該前驅物分佈系統更可包括一氣體分配器132,其構造成用以將第一前驅物蒸氣配送至反應室102中,以配送於基板104(其上設有可滲性材料106)上方,除了與氣體管線126、128及130流體連通之外,氣體分配器132係與氣體管線124流體連通。
作為非限定例示性之實施例說明,該氣體分配器132可包括噴灑頭,如圖1中方塊形所示。應注意的是,雖然將噴灑頭繪示成方塊形,但噴灑頭可能呈相對複雜結構。於一些實施例中,該噴灑頭可構造成:於配送氣體混合物至反應室102前,先混合來自多個源之蒸氣。於替代實施例中,該噴灑頭可構造成用以使導入噴灑頭之多種蒸氣之間維持分開,而多種蒸氣僅在設於反應室102內之基板104附近相互接觸。再者,該噴灑頭可構造成用以提供垂直或水平氣體流至反應室102中。例示性氣體分佈器描述於美國專利 第8,152,922號中,其內容在此以此類內容與本發明不相衝突的程度,以引用之方式併入本文中。
如圖1所示,該前驅物分佈系統可包括氣體輸送系統112、至少氣體管線124、126、128及130、及氣體分佈器132,然而應注意的是,該前驅物分佈系統可包括未示於圖1中之額外組件,舉例如額外的氣體管線、閥、執行器、密合件及加熱元件。
除了前驅物分佈系統外,該例示性滲入設備100亦可包括一移除系統,其經建構且配置成用以自反應室102移除氣體。於一些實施例中,該移除系統可包括設於反應室102壁內之一排出口134、與排出口134流體連通之排出管線136、及與排出管線136流體連通並構造成用以將氣體從反應室102內排空之真空泵138。一旦利用真空泵138將氣體或複數氣體從反應室102排出,氣體即可沿著額外排出管線140輸送並離開該例示性滲入設備100,其中氣體可能進行進一步的減排過程。
為進一步協助從反應室102內移除前驅物氣體,即反應氣體,該移除系統更可包括一源容器116,其透過氣體管線128流體連接至氣體分佈器132。舉例說明,該源容器116可構造成用以容置並貯存沖洗氣體,舉例如氬氣(Ar)、氮氣(N2 )、或氦氣(He)。與源容器116相連之流量控制器120C及閥122C可控制流量,尤其是透過氣體管線128傳送至氣體分佈器132並進入反應室102中之沖洗氣體的質流,其中沖洗氣體可協助從反應室102內移除氣相前驅物氣體、惰性氣體及副產物,尤其是將前驅物氣體及未反應的副產物從可滲性材料106的暴露表面洗除。該沖洗氣體(及任何相關前驅物及副產物)可利用真空泵138,經由排出口134離開反應室102。
於本發明之一些實施例中,該例示性滲入設備100更可包括一順序控制器,其以可操作方式連接至該前驅物分佈系統及移除系統,且包括設有一程式之一記憶體,以於該順序控制器上運行時執行對該可滲性材料之滲入。
更詳言之,該例示性滲入設備100可包括一順序控制器142,其亦可包括控制線144A、144B及144C,其中該些控制線可將各種系統及/或滲入系統100之組件接合至順序控制器142。例如,控制線144A可將順序控制器142與氣體輸送系統112接合,因而對包含有氣體管線124、126、128及130還有氣體分佈器132之前驅物分佈系統提供控制。控制線144B可將順序控制器142與反應室102接合,因而對反應室的操作提供控制,其包括但不限於,處理壓力及晶座溫度。控制線144C可將順序控制器142與真空泵138接合,據此可通過順序控器142,對氣體移除系統進行操作和控制。
應注意的是,如圖1所示,順序控制器142包含三個控制線144A、144B及144C,但應當理解,可利用多個控制線(即電性及/或光學連接控制線),以將所需系統及組件(包括滲入設備100)與順序控制器142接合,因而對滲入設備100提供整體控制。
於本發明之一些實施例中,該順序控制器142可包括電子電路,以選擇性地操作包含於例示性滲入設備100中之閥、加熱器、流量控制器、歧管、泵及其他配件。此類電路及組件進行操作,以自對應的前驅物源114A、114B、反應物源容器118及沖洗氣體源容器116引入前驅物氣體及沖洗氣體。順序控制器142亦可控制前驅物脈衝序列之時序、基板及反應室的溫度、反應室的壓力以及提供滲入設備100之適當操作所必需之各種其他操作。於一些實施例中,順序控制器142亦可包括控制軟體及電力地或氣動地控制閥,以控制前驅物及沖洗氣體進入及離開反應室102之流動。於本發明之一些實施例中,該順序控制器142可包括設有程式之一記憶體144,以於順序控制器上運作時執行對可滲性材料之滲入。舉例說明,該順序控制器142可包含如軟體或硬體組件之模組,舉例如FPGA或ASIC,以進行某些滲入製程。模組可構造成存在於順序控制器142的可定址儲存媒體中,且可構造成用於執行一或多個滲入製程。
於本發明之一些實施例中,該順序控制器142之記憶體144可設有一程式,以於順序控制器142上運行時藉由以下來執行對可滲性材料106之滲入:啟動該前驅物分佈系統及移除系統,以提供第一前驅物蒸氣至反應室102內之該基板104上之可滲性材料106,藉此透過第一前驅物蒸氣與可滲性材料106之反應,使反應室102內之該基板104上之可滲性材料106被矽原子滲入。
於本發明之一些實施例中,該例示性滲入設備100可包括一第二前驅物源114B,舉例如第二前驅物蒸發器。更詳言之,該第二前驅物源114B可經建構且配置成用以提供包括有矽化合物之第二前驅物蒸氣。例如,該第二前驅物源114B可包括一第二前驅物蒸發器,其可經建構且配置成用以蒸發包括有矽化合物之第二前驅物。於一些實施例中,該第二前驅物源114B可相同於或實質上相同於第一前驅物源114A,因此關於第二前驅物源114B之細節將省略以達簡潔。
於一些實施例中,前驅物分佈系統及移除系統可經建構且配置成用以向反應室102提供來自第二前驅物源114B之第二前驅物蒸氣。舉例說明,氣體管線126可透過流量控制器120B及閥122B,流體連接至第二前驅物源114B,並可將來自第二前驅物源114B之第二前驅物蒸氣傳送至氣體分佈器132,接著進入反應室102。於一些實施例中,該記憶體144中的程式可程式化成用以於順序控制器142上運行時藉由以下來執行對可滲性材料106之滲入:啟動該前驅物分佈系統及移除系統,以提供第二前驅物蒸氣至反應室102,使基板104上之可滲性材料106可被源自第二前驅物蒸氣的矽原子滲入。
於本發明之一些實施例中,該第二前驅物源114B可經建構且配置成用以提供任何矽前驅物之蒸氣,即本文先前所述參考第一前驅物源114A之含矽化合物。於一些實施例中,該第二前驅物源114B可經建構且配置成用以提供不同於第一前驅物源114A之矽化合物的蒸氣,換言之,該第二前驅物源114B可經建構且配置成用以提供一第二矽前驅物蒸氣,其不同於第一前驅物源114A所提供之第一矽前驅物蒸氣。作為非限定實例說明,該第一前驅物源114A可經建構且配置成用以蒸發APTES,並提供APTES的蒸氣至反應室102中,且第二前驅物源114B可經建構且配置成用以蒸發HCDS,並提供HCDS的蒸氣至反應室102。
於本發明之一些實施例中,記憶體144中之程式可程式化成用以於順序控制器142上運作時藉由以下來執行對可滲性材料106之滲入:啟動前驅物分佈系統及移除系統,以同時提供第一前驅物及第二前驅物,亦即,第一前驅物源114A及第二前驅物源114B兩者可同時提供第二前驅物蒸氣及第一前驅物蒸氣至反應室102中,使得設置於基板104上之可滲性材料106可同時被第二前驅物(即第二矽化合物)蒸氣及第一前驅物(即第一矽化合物)蒸氣兩者滲入。
於本發明之一些實施例中,記憶體144中之程式可程式化成用以於順序控制器142上運作時藉由以下來執行對可滲性材料106之滲入:啟動前驅物分佈系統及移除系統,以於第一前驅物後提供第二前驅物,亦即,第一前驅物源114A可提供第一前驅物蒸氣至反應室102中,以使第一前驅物滲入可滲性材料106,隨後第二前驅物源114B可提供第二前驅物蒸氣至反應室102中,以使第二前驅物滲入可滲性材料106。
於一些實施例中,該順序控制器142可於記憶體144上運作程式,以啟動前驅物分佈系統及移除系統,用以於第二前驅物後提供第一前驅物,亦即,第二前驅物源114B可提供第二前驅物蒸氣至反應室102中,以使第二前驅物蒸氣滲入可滲性材料106,隨後第一前驅物源114A可提供第一前驅物蒸氣至反應室102中,以使第一前驅物蒸氣滲入可滲性材料106。
於本發明之一些實施例中,安裝於記憶體144中之程式可程式化成用以於順序控制器142上運作時藉由以下來執行對可滲性材料106之滲入:啟動前驅物分佈系統及移除系統,以提供第一前驅物至反應室102中,隨後進行沖洗循環,以自反應室移除過量的第一前驅物及任何副產物,接著再提供第二前驅物至反應室中,而後進行第二沖洗循環,以自反應室移除過量的第二前驅物及任何副產物。
更詳言之,安裝於順序控制器142之記憶體144內的程式可先啟動第一前驅物源114A,並提供第一前驅物蒸氣至反應室102,以使第一前驅物蒸氣滲入可滲性材料106,隨後可關閉第一前驅物源114A,且第一前驅物源114A與反應室102之間通向反應室102的流體連接可例如藉由與第一前驅物源114A相連之閥122A來阻斷。一旦第一前驅物源114A被關閉且不與反應室102相通後,安裝於順序控制器142之記憶體144內的程式可連上或繼續連上真空泵138,以將過量的第一前驅物及任何副產物自反應室102排出。於額外實施例中,除了利用真空泵138以將過量第一前驅物及任何副產物自反應室102排出之外,安裝於順序控制器142之記憶體144中的程式可例如藉由開啟連接源容器116之閥122C,以啟動包含有沖洗氣體源之源容器116。沖洗氣體可流過氣體管線128,並藉由氣體分佈器132進入反應室102,以沖洗反應室102,尤其是可沖洗設於基板104上之可滲性材料106。安裝於順序控制器142之記憶體144中的程式可接著關閉沖洗氣體通過反應室102之流動,並隨後啟動第二前驅物源114B,因而提供第二前驅物蒸氣至反應室102,以特別將第二蒸氣源114B所提供之第二前驅物蒸氣滲入可滲性材料106。安裝於順序控制器142之記憶體144中的程式可接著關上第二前驅物流至反應室102之流動,並隨後開啟源容器116,以再次沖洗反應室,例如移除過量的第二前驅物蒸氣。
於本發明之一些實施例中,安裝於記憶體144中之程式可程式化成用以於順序控制器142上運作時藉由以下來執行對可滲性材料106之滲入:啟動前驅物分佈系統及移除系統,以提供第二前驅物蒸氣至反應室中,隨後進行沖洗循環,以自反應室移除過量的第二前驅物及任何副產物,接著再提供第一前驅物蒸氣至反應室中,而後進行沖洗循環,以自反應室移除過量的第一前驅物及任何副產物。
於本發明之額外實施例中,該例示性滲入設備100可包括一依序滲入合成(SIS)設備。舉例說明,依序滲入合成(SIS)設備可經建構且配置成用以使可滲性材料交替、自限地暴露(self-limiting exposure)於兩種或更多氣相前驅物。因此,除了第一前驅物源114A及第二前驅物源114B外,該例示性滲入設備100可更包括一反應物源容器118及一反應物供應管線,即氣體管線130,其經建構且配置成用以提供包括有氧前驅物之反應物至反應室102中。
於本發明之一些實施例中,反應物源容器118可包括固相、液相或氣相反應物。於一些實施例中,反應物源容器118可包括一反應物蒸發器,即一或更多加熱元件可與反應物源容器相連,以使反應物能夠蒸發,因而提供包括有氧前驅物之汽化反應物至反應室102。於一些實施例中,藉由使用與反應物源容器118相連之閥122D及流量控制器120D,可實現氣相反應物(包括氧前驅物)通向反應室之流動控制。於本發明之一些實施例中,反應物源容器118更包括一反應物蒸發器,該反應物蒸發器可經建構且配置成用以蒸發水(H2 O)或過氧化氫 (H2 O2 )之至少一者(作為包括有氧前驅物之反應物)。
於本發明之一些實施例中,該反應物源容器118可貯存氣態氧前驅物,並藉由反應物供應管線130及氣體分佈器132,將氣態氧前驅物配送至反應室102。於一些實施例中,該氣態氧前驅物可包括臭氧(O3 )或分子氧(O2 )之至少一者。
於本發明之一些實施例中,該例示性滲入設備100可視情況更包括一電漿產生器146,其經建構且配置成用以自氣態氧前驅物產生電漿,因而提供原子氧、氧離子、氧自由基及經激發的氧種類之一或更多者至反應室102,使得電漿產生器146所產生的氧基電漿可與設於基板104上之可滲性材料106反應。
於本發明之一些實施例中,該例示性滲入設備100可為依序滲入合成設備,其更包括:一反應物源容器118及一反應物供應管線130,其經建構且配置成用以提供包括有氧前驅物之一反應物至該反應室102,其中該順序控制器142之該記憶體144中之該程式可程式化成用以於該順序控制器142上運作時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分佈系統及移除系統,以自該反應室102移除氣體,以及啟動該前驅物分佈系統及移除系統,以提供包括有氧前驅物之該反應物至該反應室102,藉此透過第一前驅物及包括有氧前驅物之反應物與可滲性材料106之反應,使反應室102中之該基板104上之可滲性材料106被矽原子及氧原子滲入。於一些實施例中,提供第一前驅物及隨後提供反應物之程式順序可重覆一或多次。於一些實施例中,該程式順序中的每一步驟後可接著進行沖洗循環,以利用真空泵138並視情況從源容器116流入沖洗氣體,將過量前驅物及副產物藉由排出反應室102而自反應室移除。
於本發明之一些實施例中,安裝於記憶體114中的程式可程式化成用以於順序控制器142上運作時藉由以下來執行對可滲性材料106之依序滲入合成:啟動前驅物分佈系統及移除系統,以自反應物源容器118提供氧前驅物至反應室,接著自第一前驅物源114A提供第一前驅物蒸氣至反應室102,因而使矽及氧原子兩者皆滲入可滲性材料。於一些實施例中,提供氧前驅物及隨後提供第一前驅物蒸氣之程式順序可重覆一或多次。於一些實施例中,該程式順序中的每一步驟後可接著進行沖洗循環,以利用真空泵138並視情況從源容器116流入沖洗氣體,將過量前驅物及副產物藉由排出反應室102而自反應室移除。
於本發明之一些實施例中,該設備包括一依序滲入合成設備,且更包括一第二前驅物源114B,其經建構且配置成用以提供第二前驅物蒸氣至反應室102。例如,該第二前驅物源114B可包括一第二前驅物蒸發器,其可經建構且配置成用以蒸發包括有矽化合物之第二前驅物。於一些實施例中,該前驅物分佈系統及移除系統可經建構且配置成用以自第二前驅物源114B向反應室102提供第二前驅物蒸氣,且該記憶體144中的程式程式化成用以於順序控制器142上運行時藉由以下來執行對可滲性材料之滲入:啟動該前驅物分佈系統及移除系統,以提供第二前驅物。
於本發明之一些實施例中,該記憶體144中之該程式係程式化成用以於該順序控制器142上運作時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分佈系統及移除系統,以提供第一前驅物、隨後提供反應物、接著再提供第二前驅物、而後再提供反應物。
於本發明之一些實施例中,該記憶體144中之該程式可程式化成用以於該順序控制器142上運作時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分佈系統及移除系統,以重覆多次提供第一前驅物、隨後提供反應物、接著再提供第二前驅物、而後再提供反應物。
於本發明之一些實施例中,該記憶體144中系統之該程式可程式化成用以於該順序控制器142上運作時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分佈及移除系統,以於下述每一步驟之間自反應室移除前驅物及/或反應物:提供第一前驅物、隨後提供反應物、接著再提供第二前驅物、而後再提供反應物。
於本發明之一些實施例中,該記憶體144中之該程式可程式化成用以於該順序控制器142上運作時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分佈系統及移除系統,以提供第一前驅物、隨後提供第二前驅物、接著再提供反應物。於一些實施例中,提供第一前驅物、隨後提供第二前驅物、接著再提供反應物之程序順序可重覆一或多次。於一些實施例中,該程序順序中的每一步驟後可接著進行沖洗循環,以利用真空泵138並視情況從源容器116流入沖洗氣體,將過量前驅物及副產物藉由排出反應室102而自反應室移除。
於本發明之一些實施例中,該記憶體144中之該程式可程式化成用以於該順序控制器142上運作時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分佈系統及移除系統,以提供第二前驅物、隨後提供第一前驅物、接著再提供反應物。於一些實施例中,提供第二前驅物、隨後提供第一前驅物、接著再提供反應物之程序順序可重覆一或多次。於一些實施例中,該程序順序中的每一步驟後可接著進行沖洗循環,以利用真空泵138並視情況從源容器116流入沖洗氣體,將過量前驅物及副產物藉由排出反應室102而自反應室移除。
於本發明之一些實施例中,該記憶體144中之該程式可程式化成用以於該順序控制器142上運作時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分佈系統及移除系統,以提供第一前驅物、隨後提供反應物、接著再提供第二前驅物。於一些實施例中,提供第一前驅物、隨後提供反應物、接著再提供第二前驅物之程序順序可重覆一或多次。於一些實施例中,該程序順序中的每一步驟後可接著進行沖洗循環,以利用真空泵138並視情況從源容器116流入沖洗氣體,將過量前驅物及副產物藉由排出反應室102而自反應室移除。
於本發明之一些實施例中,該記憶體144中之該程式可程式化成用以於該順序控制器142上運作時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分佈系統及移除系統,以提供反應物、隨後提供第一前驅物、接著提供第二前驅物、而後再提供反應物。於一些實施例中,提供反應物、隨後提供第一前驅物、接著提供第二前驅物、而後再提供反應物之程序順序可重覆一或多次。於一些實施例中,該程序順序中的每一步驟後可接著進行沖洗循環,以利用真空泵138並視情況從源容器116流入沖洗氣體,將過量前驅物及副產物藉由排出反應室102而自反應室移除。
於本發明之一些實施例中,該記憶體144中之該程式可程式化成用以於該順序控制器142上運作時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分佈系統及移除系統,以提供反應物、隨後提供第一前驅物、接著提供反應物、而後再提供第二前驅物。於一些實施例中,提供反應物、隨後提供第一前驅物、接著提供反應物、而後再提供第二前驅物之程序順序可重覆一或多次。於一些實施例中,該程序順序中的每一步驟後可接著進行沖洗循環,以利用真空泵138並視情況從源容器116流入沖洗氣體,將過量前驅物及副產物藉由排出反應室102而自反應室移除。
本發明之實施例亦可包含滲入可滲性材料之方法,尤其是以矽原子滲入可滲性材料之方法。
因此,本發明之實施例可提供一種滲入可滲性材料之方法,該方法包括:提供其上設有可滲性材料之基板於反應室中;於一第一時間段(T1 ),提供包括有矽化合物之第一前驅物至反應室中之可滲性材料,以使反應室內設於基板上之可滲性材料被矽原子滲入;以及於一第二時間段(T2 ),沖洗該反應室。
例示性滲入製程200示於圖2中,其中該滲入製程200可通過製程方塊210進行,其包括:提供其上設有可滲材料之基板於反應室中。該基板可包括一或更多材料,如本文先前所揭露,其可包括平面或圖案化基板。於一些實施例中,該可滲性材料包括下述至少一者:光阻、極紫外線微影(EUV)阻劑、浸液光阻、化學放大阻劑(CAR)、電子束阻劑、多孔材料或硬質光罩材料,舉例如氧化矽、氮化矽或氮氧化矽。
該例示性滲入製程200可藉由製程方塊220繼續,其包括:於第一時間段(T1 )內,提供包括有矽化合物之第一前驅物至反應室中的可滲性材料,以使反應室內設於基板上之可滲性材料被矽原子滲入。該第一前驅物可包括氣相矽化合物,並可包含本文前述之任何矽化合物。於一些實施例中,該第一前驅物包括胺基矽烷、乙氧基矽烷、甲氧基矽烷或矽鹵化物之至少一者。於一些實施例中,該第一前驅物包括3-胺基丙基三乙氧基矽烷(APTES)、3-胺基丙基三甲氧基矽烷(APTMS)或六氯矽烷(HCSD)之至少一者。於一些實施例中,第一時間段(T1 ),即第一前驅物提供至並接觸可滲性材料之時間段。可介於約25毫秒與約10小時之間。
該例示性滲入製程200可藉由製程方塊230繼續,其包括:於一時間段(T2 ),沖洗反應室。例如,可利用真空泵,將過量第一前驅物(及任何反應副產物)自反應室排出,以沖洗反應室。此外,該沖洗製程亦可包括:供應沖洗氣體至反應室,以協助排出過量的前驅物氣體。於一些實施例中,可於介於約25毫秒與約10小時之間的時間段(T2 ),沖洗反應室。
該例示性滲入製程200可藉由決閘240繼續,其中該決閘240可取決於滲入可滲性材料中之矽原子百分比(atomic-%)。若滲入可滲性材料中之矽原子不足,則例示性製程200可返回至製程方塊220,藉由提供第一矽前驅物至可滲性材料,使可滲性材料可再次暴露至第一矽前驅物,隨後再進行製程方塊230,以沖洗反應室之過量前驅物及副產物。因此,本發明之一些實施例更可包括:重覆一或多次提供第一前驅物之步驟及接著清洗反應室之步驟,直到所欲原子百分比(atomic-%)之矽原子滲入該可滲性材料。一旦所欲原子百分比(atomic-%)之矽滲入可滲性材料後,該例示性製程即可經由製程方塊250離開。例如,該例示性滲入製程可製成被大於0.1%、或大於5%、或大於15%、或大於50%、或大於75%、或甚至約100%原子百分比之矽原子滲入之可滲性材料。於一些實施例中,該滲入製程可製成被大於15%原子百分比之矽原子滲入之可滲性材料。於一些實施例中,滲入的矽原子可均勻地分佈於可滲性材料內。於一些實施例中,滲入的矽原子可非均勻地分佈於可滲性材料內。
額外之例示性滲入製程300可參考圖3作說明,其中該例示性滲入製程300可經由製程方塊310進行,其包括:提供其上設有可滲材料之基板於反應室中。製程方塊310等同於圖2之製程方塊210,故在此不再詳加描述。
該例示性滲入製程300可藉由製程方塊320繼續,其包括:於一第一時間段(T1 ),提供包括有矽化合物之第一前驅物至反應室中的可滲性材料,以使反應室內設於基板上之可滲性材料被矽原子滲入。製程方塊320等同於圖2之製程方塊220,故在此不再詳加描述。
該例示性滲入製程300可藉由製程方塊330繼續,其包括:於一第三時間段(T3 ),提供包括有矽化合物之第二前驅物至反應室中的可滲性材料,以使反應室內設於基板上之可滲性材料被矽原子滲入。例如,提供第二前驅物並使第二前驅物與可滲性材料接觸之第三時間段(T3 )可介於約25毫秒與約10小時之間。
於本發明之一些實施例中,包括有矽化合物之第二前驅物可包括前文詳述之任何矽化合物。於一些實施例中,該第二前驅物可包括胺基矽烷、乙氧基矽烷、甲氧基矽烷或矽鹵化物之至少一者。於一些實施例中,該第二前驅物包括3-胺基丙基三乙氧基矽烷(APTES)、3-胺基丙基三甲氧基矽烷(APTMS)或六氯矽烷(HCSD)之至少一者。
於本發明之一些實施例中,第一前驅物可不同於第二前驅物,亦即,第一前驅物可包括第一矽氣相反應物,而第二前驅物亦可包括不同於第一矽氣相反應物之第二矽氣相反應物。
雖然圖3示出兩個獨立的處理方塊,但可同時進行包括提供第一前驅物之製程方塊320與包括提供第二前驅物之製程方塊330,亦即,可同時將第一前驅物及第二前驅物提供至反應室中之可滲性材料,因而使矽原子滲入可滲性材料。
於替代實施例中,可分開提供第一前驅物及第二前驅物至可滲性材料,亦即,第一前驅物及第二前驅物不同時接觸可滲性材料。於此等實施例中,第一前驅物及第二前驅物分開提供至可滲性材料,該例示性滲入製程可更包括:於提供第一前驅物及提供第二前驅物之間進行反應室沖洗,如此便可於提供第二前驅物至可滲性材料之前,自反應室移除過量的第一前驅物(及任何反應副產物)。可於提供第二前驅物後,進行額外的反應室沖洗,以移除過量的第二前驅物及任何反應副產物。應注意的是,於此等實施例中,第一前驅物及第二前驅物可分開提供至可滲性材料,提供前驅物的順序可以是先提供第二前驅物至可滲性材料,隨後再提供第一前驅物,並於提供步驟之間視情況進行反應室沖洗。
該例示性滲入製程300可藉由製程方塊340進行,其包括:提供第二前驅物至可滲性材料後,於第四時間段(T4 ),沖洗該反應室。舉例說明,用以自反應室移除過量前驅物之第四時間段(T4 )可介於約25毫秒與約10小時之間。
該例示性滲入製程300可藉由決閘350繼續,其中該決閘350可取決於滲入可滲性材料中之矽原子百分比(atomic-%)。若滲入可滲性材料中之矽原子不足,則例示性製程300可返回至製程方塊320,使可滲性材料可再次暴露至第一矽前驅物(製程方塊320)及第二前驅物(製程方塊330)(其間視情況進行反應室沖洗),隨後再進行製程方塊340,以沖洗反應室之過量前驅物及副產物。因此,本文所揭露之方法可包括:重覆一或多次提供第一前驅物、隨後沖洗反應室、接著提供第二前驅物、而後再沖洗反應室之該些步驟,亦即,直到所欲原子百分比之矽滲入可滲性材料。
一旦所欲原子百分比(atomic-%)之矽滲入可滲性材料後,該例示性製程300即可經由製程方塊360離開。
不受限於任何理論或機制,但據信本發明之方法(包括:提供第一矽前驅物及第二不同矽前驅物至可滲性材料)可導致較多原子百分子之矽原子滲入。例如,該例示性滲入製程300可製成被大於0.1%、或大於5%、或大於15%、或大於50%、或大於75%、或甚至約100%原子百分比之矽原子滲入之可滲性材料。於一些實施例中,該滲入製程可製成被大於15%原子百分比之矽原子滲入之可滲性材料。於一些實施例中,滲入的矽原子可均勻地分佈於可滲性材料內。於一些實施例中,滲入的矽原子可非均勻地分佈於可滲性材料內。
於本發明之額外實施例中,所揭露之方法可包括依序滲入合成(SIS)方法,其可包括將可滲性材料交替地暴露於兩種以上的前驅物,以使原子及/或材料能夠滲入可滲性材料中,舉例如聚合物阻劑或硬質光罩材料。
因此,本發明之額外實施例可參考圖4作說明,其示出例示性SIS製程400。更詳言之,該例示性SIS製程可由製程方塊410開始,其包括:提供其上設有可滲性材料之基板於反應室中。製程方塊410等同於圖2之製程210,故在此不再詳加描述。
該例示性SIS製程400可藉由執行一或多次SIS循環405來進行,其中SIS循環可經由製程方塊420進行,其包括:於第一時間段(T1 ),提供包括有矽化合物之第一前驅物至反應室中的可滲性材料,以使反應室內設於基板上之可滲性材料被矽原子滲入。製程方塊420等同於圖2之製程方塊220,故在此不再詳加描述。
該例示性SIS製程400之SIS循環405可藉由製程方塊430進行,其包括:於第五時間段(T5 ),提供包括有氧前驅物之反應物至反應室中的可滲性材料,以使反應室內設於基板上之可滲性材料被氧原子滲入。
更詳言之,於一些實施例中,包括有氧前驅物之反應物可包括水(H2 O)或過氧化氫(H2 O2 )之至少一者的蒸氣。在一些實施例中,氧前驅物可包括臭氧(O3 )或分子氧(O2 )。於本發明之一些實施例中,包括有氧前驅物之反應物可包括氧基電漿,其包括經由含氧氣體(舉例如臭氧(O3 )或分子氧(O2 )之至少一者)之電漿激發而產生的氧原子、氧離子、氧自由基及經激發的氧種類。例如,於一些實施例中,該些方法可包括:於介於約25毫秒與約10小時之間之第五時間段(T5 ),提供包括有氧前驅物之反應物至可滲性材料。
於本發明之一些實施例中,可以反應室沖洗來分開提供第一前驅物之製程方塊420及提供反應物之製程方塊430,以自反應室移除過量前驅物及反應副產物。此外,提供反應物之製程方塊430後可接著進行額外的反應室沖洗,以移除過量反應物及反應副產物。應注意的是,可改變圖4所示之製程順序,以先將包括有氧前驅物之反應物提供至可滲性材料,接著再提供第一前驅物至可滲性材料。
該例示性SIS製程400之SIS循環405可藉由決閘440繼續,其中該決閘440可取決於滲入可滲性材料中之矽原子百分比(atomic-%)及滲入可滲性材料中之氧原子百分比(atomic-%)。若滲入可滲性材料中之矽原子及氧原子不足,則可返回製程方塊420,以重覆例示性SIS製程400之SIS循環405,使可滲性材料可再次暴露至第一矽前驅物(製程方塊420)及包括有氧前驅物之反應物(製程方塊430),且於每一個別製程方塊後可視情況進行反應室沖洗。
因此,於一些實施例中,例示性SIS製程400之單元SIS循環405可包括:提供包括有矽化合物之第一前驅物、沖洗反應室、提供包括有氧前驅物之反應物、及沖洗反應室。於替代實施例中,例示性SIS製程400之單元SIS循環405可包括:提供包括有氧前驅物之反應物、沖洗反應室、提供包括有矽化合物之第一前驅物、及沖洗反應室。
一旦所欲原子百分比(atomic-%)之矽原子及氧原子已滲入可滲性材料後,該例示性SIS製程400即可經由製程方塊450離開。
本發明之額外實施例更可包括依序滲入合成(SIS)方法,其可參考示出例示性SIS製程500之圖5作說明。更詳言之,該例示性SIS製程500可由製程方塊510開始,其包括:提供其上設有可滲性材料之基板於反應室中。製程方塊510等同於圖2之製程210,故在此不再詳加描述。
該例示性SIS製程500可由SIS循環505來進行,其可藉由製程方塊520開始,包括:於第一時間段(T1 ),提供包括有矽化合物之第一前驅物至反應室中的可滲性材料,以使反應室內設於基板上之可滲性材料被矽原子滲入。製程方塊520等同於圖2之製程方塊220,故在此不再詳加描述。
例示性SIS製程500之SIS循環505可由製程方塊530繼續,其包括:提供包括有矽化合物之第二前驅物至可滲性材料,其中第二前驅物不同於第一前驅物。製程方塊530等同於圖3之製程方塊330,故在此不再詳加描述。
例示性SIS製程500之SIS循環505可由製程方塊540繼續,其包括:提供包括有氧前驅物之反應物至可滲性材料。製程方塊540等同於圖4之製程方塊430,故在此不再詳加描述。
該例示性SIS製程500之SIS循環505可藉由決閘550繼續,其中該決閘550可取決於滲入可滲性材料中之矽原子百分比(atomic-%)及滲入可滲性材料中之氧原子百分比(atomic-%)。若滲入可滲性材料中之矽原子及氧原子不足,則可返回製程方塊520,以重覆SIS循環505,使可滲性材料可再次暴露至第一矽前驅物(製程方塊520)、暴露至第二矽前驅物(製程方塊530)、及暴露至包括有氧前驅物之反應物(製程方塊540)。一旦所欲原子百分比(atomic-%)之矽原子及氧原子已滲入可滲性材料後,該例示性SIS製程500即可經由製程方塊560離開。
因此,本文所揭露之方法可包括:進行一或多次依序滲入合成(SIS)循環505,其中單元SIS循環可包括:提供包括有矽化合物之第一前驅物至可滲性材料、提供包括有矽化合物且不同於第一前驅物之第二前驅物、及提供包括有氧前驅物之反應物至可滲性材料。
於一些實施例中,SIS循環之每一步驟之後可接著進行反應室沖洗,以於連續製程步驟之間移除過量前驅物/反應物種。作為非限定實例說明,例示性單元SIS循環可包括:提供第一前驅物、沖洗反應室、提供第二前驅物、沖洗反應室、提供包括有氧前驅物之反應物、及沖洗反應室,其中SIS循環可重覆一次或多次。
於本發明之一些實施例中,例示性SIS製程500之包括有單元SIS循環之製程順序可以另一順序來進行。於一些實施例中,單元SIS循環可包括:提供第二前驅物、沖洗反應室、提供第一前驅物、沖洗反應室、提供包括有氧前驅物之反應物、及沖洗反應室,其中SIS循環可重覆一次或多次。於一些實施例中,單元SIS循環可包括:提供第一前驅物、沖洗反應室、提供反應物、沖洗反應室、提供第二前驅物、及沖洗反應室。於一些實施例中,單元SIS循環可包括:提供第一前驅物、沖洗反應室、提供反應物、沖洗反應室、提供第二前驅物、沖洗反應室、提供反應物、及沖洗反應室。於一些實施例中,單元SIS循環可包括:提供反應物、沖洗反應室、提供第一前驅物、沖洗反應室、提供第二前驅物、沖洗反應室、提供反應物、及沖洗反應室。於一些實施例中,單元SIS循環可包括:提供反應物、沖洗反應室、提供第一前驅物、沖洗反應室、提供反應物、沖洗反應室、提供第二前驅物、及沖洗反應室。
作為非限定實例,以說明本文所揭露之滲入設備及滲入方法的能力,圖6顯示利用本文所述之滲入設備及滲入方法將矽原子滲入極紫外線(EUV)化學放大阻劑所獲得之X射線光電子光譜(XPS)。更詳言之,使用包括有六氯矽烷(HCDS)之矽前驅物,對該EUV化學放大阻劑進行滲入。XPS光譜600之測試示出了原數據線602及處理後數據線604,其中處理後數據線604顯示有許多顯著特徵。例如,標示為604A之數據中的側鋒(shoulder)及標示為604B的峰兩者表示被滲入之EUV阻劑中存有氧化矽,而標示為606的峰表示被滲入之EUV阻劑中存有元素矽。因此,本發明之實施例不只可將矽原子滲入可滲性材料,於一些實施例中,其亦可使氧化矽滲入可滲性材料。於圖6所示之實例中,EUV阻劑可被矽原子滲入達濃度約6原子百分比。
作為進一步非限定實例,以說明本文所揭露之滲入設備及滲入方法的能力,圖7顯示利用本文所述之滲入設備及滲入方法將矽原子滲入EUV化學放大阻膜所獲得之二次離子質譜(SIMS)700。更詳言之,使用包括有3-胺基丙基三乙氧基矽烷(APTES)之矽前驅物,對該EUV化學放大阻膜進行滲入。被滲入之EUV阻膜的SIMS光譜700測試示出了數據線702,其顯示膜中的碳(C)組成,對應於原EUV阻劑,而數據線704顯示膜中的矽(Si)組成,其對應於滲入EUV阻劑中之複數矽原子。代表EUV阻膜中矽組成之數據線704顯示,矽原子均勻地分佈於整個EUV阻膜中。於此特定實例中,EUV可被矽原子滲入達濃度約3原子百分比。
本文所揭露之滲入設備及滲入方法可用於形成被滲材料,如聚合物阻劑及硬質光罩材料,其對蝕刻製程之抗蝕性提高。被滲材料可用於半導體裝置結構之製造中,舉例如,用以作為蝕刻遮罩,以使圖案化之被滲特徵轉印至下層基板。
作為本發明實施例之非限定實例說明,圖8顯示半導體裝置結構800,其包含基板802及被滲聚合物阻劑特徵804。更詳言之,該基板802可為前文關於圖1基板104所述之任何材料,且更可包括平面基板(如圖8所示)或非平面基板。於一些實施例中,基板802可包括已製成或至少部分製成的半導體裝置結構,諸如電晶體及/或記憶體元件。
於本發明之一些實施例中,被滲聚合物阻劑特徵804可設於基板802之一表面上。舉例說明,聚合物阻劑特徵可藉由標準光顯影方法製成,且可包含任何可利用標準光微影方法製成之幾何形狀或特徵,此等特徵包含,但不限於,線特徵、區塊特徵、開孔特徵及圓形特徵。於一些實施例中,被滲聚合物阻劑804可包括一有機組分及一無機組分,無機組分包括滲入有機組分內之複數矽(Si)原子。於一些實施例中,有機組分內複數矽原子的濃度可大於0.1原子%、或大於5原子%、或大於15原子%、或大於50原子%、或大於75原子%、或甚至約100原子%。於一些實施例中,有機組分內之複數矽原子的濃度可大於約15原子%。
於一些實施例中,滲入該有機組份內之複數矽原子可均勻地分布於整個有機組份中。於一些實施例中,滲入該有機組份內之複數矽原子可非均勻地分布於整個有機組份中。
於本發明之一些實施例中,該無機組份更包括滲入有機組份中之複數氧原子。舉例說明,有機組分內之複數氧原子的濃度可大於0.1原子%、或大於5原子%、或大於15原子%、或甚至大於50原子%。
於本發明之一些實施例中,被滲聚合物阻劑的有機組分更可包括複數矽原子及複數氧原子。於一些實施例中,被滲聚合物阻劑的有機組分更可包括滲入的氧化矽(Six Oy ),其中氧化矽並不限於任何特定的化學計量。例如,該複數矽原子可設置於被滲聚合物阻劑804的有機組份內,其為元素矽(Si)或者為氧化矽(Six Oy )。
上述揭露內容之示範實施例並沒有限制本發明的範圍,因為這些實施例僅為本發明之實施例之示範,本發明的範圍由所附請求項及其合法均等物來定義。任何等效實施例意指在本發明的範圍內。實際上,除本文中所示及所述者之外,本發明之各種修改(諸如,所述元件之替代有用的組合)對於熟悉該項技藝者來說可根據描述而變得顯而易見。這樣的修改及實施例同樣意欲落在所附請求項之範圍內。
雖然本說明書以特別指出且明確主張被視為本發明的實施例之權利的申請專利範圍作為結論,但是當結合所附圖式來閱讀時,可以從本發明的實施例之某些實例的敘述更容易地確定本發明之實施例的優點,在所附圖式中: 圖1繪示本發明實施例之非限定例示性滲入設備; 圖2繪示非限定例示性製作流程,其顯示本發明實施例中利用第一前驅物對可滲性材料滲入之方法; 圖3繪示額外的非限定例示性製作流程,其顯示本發明實施例中利用第一前驅物及第二前驅物對可滲性材料滲入之方法; 圖4繪示非限定例示性製作流程,其顯示本發明實施例中用以依序滲入合成(SIS)之方法; 圖5繪示額外的非限定例示性製作流程,其顯示本發明實施例中用以依序滲入合成(SIS)之額外方法; 圖6代表本發明實施例中被滲材料所獲得之X射線光電子光譜(XPS); 圖7代表本發明實施例中被滲材料所獲得之二次離子質譜(SIMS);以及 圖8繪示包含本發明實施例之被滲材料的半導體裝置結構之橫截面示意圖;

Claims (56)

  1. 一種滲入設備,其包括: 一反應室,其經建構且配置成用以固持其上設有一可滲性材料之至少一基板; 一第一前驅物源,其經建構且配置成用以提供包括有矽化合物之一第一前驅物之蒸氣; 一前驅物分佈系統及移除系統,其經建構且配置成用以向該反應室提供來自該第一前驅物源之該第一前驅物之該蒸氣,並自該反應室移除該第一前驅物之該蒸氣;以及 一順序控制器,其以可操作地連接至該前驅物分佈系統及移除系統,且包括設有一程式之一記憶體,以於該順序控制器上運行時藉由以下來執行對該可滲性材料之滲入: 啟動該前驅物分佈系統及移除系統,以提供該第一前驅物之該蒸氣至該反應室中之該基板上之該可滲性材料,藉此透過該第一前驅物之該蒸氣與該可滲性材料之反應,使該反應室中之該基板上之該可滲性材料被矽原子滲入。
  2. 如申請專利範圍第1項所述之設備,其中,該第一前驅物源經建構且配置成用以提供經取代矽烷之蒸氣。
  3. 如申請專利範圍第2項所述之設備,其中,該第一前驅物源經建構且配置成用以提供胺基矽烷之蒸氣。
  4. 如申請專利範圍第1項所述之設備,其中,該第一前驅物源經建構且配置成用以提供包括有3-胺基丙基及矽之化合物之蒸氣。
  5. 如申請專利範圍第1項所述之設備,其中,該第一前驅物源經建構且配置成用以提供矽前驅物之蒸氣,其包括有一烷氧配位基及烷氧配位基以外之一額外配位基。
  6. 如申請專利範圍第1項所述之設備,其中,該第一前驅物源經建構且配置成用以提供3-胺基丙基三乙氧基矽烷(APTES)之蒸氣。
  7. 如申請專利範圍第1項所述之設備,其中,該第一前驅物源經建構且配置成用以提供矽前驅物之蒸氣,其包括有接至矽原子之經胺基取代之烷基。
  8. 如申請專利範圍第1項所述之設備,其中,該第一前驅物源經建構且配置成用以提供3-胺基丙基三甲氧基矽烷(APTMS)之蒸氣。
  9. 如申請專利範圍第1項所述之設備,其中,該第一前驅物源經建構且配置成用以提供包括有鹵化物之矽化合物之蒸氣。
  10. 如申請專利範圍第9項所述之設備,其中,該第一前驅物源經建構且配置成用以提供矽鹵化物、鹵化矽烷、或包括有鹵化物之矽烷之蒸氣。
  11. 如申請專利範圍第9項所述之設備,其中,該矽化合物包括氯。
  12. 如申請專利範圍第11項所述之設備,其中,該第一前驅物源經建構且配置成用以提供下述至少一者之蒸氣:六氯矽烷(HCDS)、二氯矽烷(DCS)、或四氯化矽(SiCl4 )。
  13. 如申請專利範圍第1項所述之設備,其中,該設備包括一第二前驅物源,其經建構且配置成用以提供包括有矽化合物之一第二前驅物之蒸氣;且該前驅物分佈系統及移除系統經建構且配置成用以向該反應室提供來自第二前驅物源之該第二前驅物之該蒸氣,該記憶體中之該程式係程式化成用以於該順序控制器上運作時藉由以下來執行對該可滲性材料之滲入:啟動該前驅物分佈系統及移除系統,以提供該第二前驅物之該蒸氣至該反應室,以使該反應室內之該基板上之該可滲性材料被來自該第二前驅物之該蒸氣之矽原子滲入。
  14. 如申請專利範圍第13項所述之設備,其中,該第二前驅物源經建構且配置成用以提供不同於該第一前驅物之矽化合物之蒸氣。
  15. 如申請專利範圍第13項所述之設備,其中,該記憶體中之該程式係程式化成用以於該順序控制器上運作時藉由以下來執行對該可滲性材料之滲入:啟動該前驅物分佈系統及移除系統,以於提供該第一前驅物時同時提供該第二前驅物。
  16. 如申請專利範圍第13項所述之設備,其中,該記憶體中之該程式係程式化成用以於該順序控制器上運作時藉由以下來執行對該可滲性材料之滲入:啟動該前驅物分佈系統及移除系統,以於該第一前驅物之後提供該第二前驅物。
  17. 如申請專利範圍第1項所述之設備,其中,該設備係一依序滲入合成設備,其更包括: 一反應物源容器及一反應物供應管線,其經建構且配置成用以提供包括有氧前驅物之一反應物至該反應室,其中該順序控制器之該記憶體中之該程式係程式化成用以於該順序控制器上運作時藉由以下來執行對該可滲性材料之滲入:啟動該前驅物分佈系統及移除系統,以自該反應室移除氣體;以及啟動該前驅物分佈系統及移除系統,以提供包括有氧前驅物之該反應物至該反應室,藉此透過該第一前驅物及包括有氧前驅物之該反應物與該可滲性材料之反應,使該反應室中之該基板上之該可滲性材料被矽原子及氧原子滲入。
  18. 如申請專利範圍第17項所述之設備,其中,該反應物源容器包括一反應物蒸發器,其經建構且配置成用以蒸發水(H2 O)或過氧化氫(H2 O2 )中之至少一者。
  19. 如申請專利範圍第17項所述之設備,其中,該反應物源容器含有一氣態氧前驅物,其包含臭氧(O3 )及分子氧(O2 )之至少一者。
  20. 如申請專利範圍第17項所述之設備,其中,該設備更包括一電漿產生器,其經建構且配置成用以自該氧前驅物產生一電漿,因而提供原子氧、氧自由基及經激發的氧種類之一或更多者至該反應室。
  21. 如申請專利範圍第17項所述之設備,其中,該設備包括一第二前驅物源,其經建構且配置成用以蒸發包括有矽化合物之一第二前驅物之蒸氣;且該前驅物分佈系統及移除系統經建構且配置成用以向該反應室提供來自該第二前驅物源之該第二前驅物之該蒸氣,以及該記憶體中之該程式係程式化成用以於該順序控制器上運作時藉由以下來執行對該可滲性材料之滲入:啟動該前驅物分佈系統及移除系統,以提供該第二前驅物之該蒸氣。
  22. 如申請專利範圍第21項所述之設備,其中,該記憶體中之該程式係程式化成用以於該順序控制器上運作時藉由以下來執行對該可滲性材料之滲入:啟動該前驅物分佈系統及移除系統,以提供該第一前驅物,隨後提供該反應物,接著再提供該第二前驅物,而後再提供該反應物。
  23. 如申請專利範圍第21項所述之設備,其中,該記憶體中之該程式係程式化成用以於該順序控制器上運作時藉由以下來執行對該可滲性材料之滲入:啟動該前驅物分佈系統及移除系統,以多次重覆提供該第一前驅物,隨後提供該反應物,接著再提供該第二前驅物,而後再提供該反應物。
  24. 如申請專利範圍第21項所述之設備,其中,該記憶體中之該程式係程式化成用以於該順序控制器上運作時藉由以下來執行對該可滲性材料之滲入:啟動該前驅物分佈系統及移除系統,以於下述每一步驟之間將該前驅物及/或反應物自該反應室移除:提供該第一前驅物、隨後提供該反應物、接著再提供該第二前驅物、而後再提供該反應物。
  25. 一種滲入一可滲性材料之方法,其包括: 提供其上設有該可滲性材料之一基板於一反應室中; 於一第一時間段(T1 ),提供包括有矽化合物之一第一前驅物至於該反應室中之該可滲性材料,以使該反應室內設於該基板上之該可滲性材料被矽原子滲入;以及 於一第二時間段(T2 ),沖洗該反應室。
  26. 如申請專利範圍第25項所述之方法,其中,該可滲性材料包括下述至少一者:一光阻、一極紫外線微影(EUV)阻劑、一化學放大阻劑(CAR)、一電子束阻劑、一浸液光阻、一多孔材料或一硬質光罩材料。
  27. 如申請專利範圍第25項所述之方法,其中,該第一前驅物包括胺基矽烷、乙氧基矽烷、甲氧基矽烷或矽鹵化物中之至少一者。
  28. 如申請專利範圍第27項所述之方法,其中,該第一前驅物包括3-胺基丙基三乙氧基矽烷(APTES)、3-胺基丙基三甲氧基矽烷(APTMS)或六氯矽烷(HCSD)之至少一者。
  29. 如申請專利範圍第25項所述之方法,其中,該第一時間段(T1 )係介於約25毫秒與約10小時之間。
  30. 如申請專利範圍第25項所述之方法,其中,該第二時間段(T2 )係介於約25毫秒與約10小時之間。
  31. 如申請專利範圍第25項所述之方法,其更包括:重覆一或多次提供該第一前驅物之該步驟及接著沖洗該反應室之該步驟,直到所欲原子百分比(atomic-%)之矽原子滲入該可滲性材料。
  32. 如申請專利範圍第25項所述之方法,其中,一被滲入之可滲性材料包括大於0.1%原子百分比之矽原子。
  33. 如申請專利範圍第25項所述之方法,其中,該被滲入之矽原子係均勻地分布於該可滲性材料內。
  34. 如申請專利範圍第25項所述之方法,其中,該方法更包括: 於一第三時間段(T3 ),提供包括有矽化合物之一第二前驅物至該反應室中之該可滲性材料,以使該反應室內設於該基板上之該可滲性材料被矽原子滲入。
  35. 如申請專利範圍第34項所述之方法,其中,該第一前驅物不同於該第二前驅物。
  36. 如申請專利範圍第34項所述之方法,其更包括:同時提供該第一前驅物及該第二前驅物至該反應室中之該可滲性材料。
  37. 如申請專利範圍第34項所述之方法,其更包括:提供該第二前驅物至該可滲性材料後,於一第四時間段(T4 ),沖洗該反應室。
  38. 如申請專利範圍第37項所述之方法,其更包括:重覆一或多次提供該第一前驅物、隨後沖洗該反應室、接著提供該第二前驅物、而後再沖洗該反應室之該些步驟。
  39. 如申請專利範圍第34項所述之方法,其中,該被滲入之可滲性材料包括大於0.1%原子百分比之矽原子。
  40. 如申請專利範圍第34項所述之方法,其中,該第三時間段(T3 )係介於約25毫秒與約10小時之間。
  41. 如申請專利範圍第37項所述之方法,其中,該第四時間段(T4 )係介於約25毫秒與約10小時之間。
  42. 如申請專利範圍第25項所述之方法,其中,該方法更包括: 於一第五時間段(T5 ),提供包括有氧前驅物之一反應物至該反應室中之該可滲性材料,以使該反應室內設於該基板上之該可滲性材料被氧原子滲入。
  43. 如申請專利範圍第42項所述之方法,其中,該可滲性材料被氧化矽滲入。
  44. 如申請專利範圍第42項所述之方法,其中,該氧前驅物包括下述至少一者之蒸氣:水(H2 O)、臭氧 (O3 )、分子氧(O2 )或過氧化氫(H2 O2 )。
  45. 如申請專利範圍第42項所述之方法,其中,該氧前驅物包括氧基電漿,其包括氧原子、氧離子、氧自由基及經激發的氧種類。
  46. 如申請專利範圍第42項所述之方法,其中,該方法更包括:進行一或更多依序滲入合成(SIS)循環,一單元SIS循環包括: 提供包括有矽化合物之該第一前驅物至該可滲性材料;以及 提供包括有該氧前驅物之該反應物至該可滲性材料。
  47. 如申請專利範圍第46項所述之方法,其中,一單元SIS循環更包括:提供包括有矽化合物之一第二前驅物至該可滲性材料,其中該第二前驅物不同於該第一前驅物。
  48. 如申請專利範圍第46項所述之方法,其中,一單元SIS循環更包括:於該SIS循環之每一步驟之間沖洗該反應室。
  49. 如申請專利範圍第42項所述之方法,其中,該第五時間段(T5 )係介於約25毫秒與約10小時之間。
  50. 一種半導體裝置結構,其包括: 一基板;以及 一被滲聚合物阻劑特徵,其設置於該基板之一表面上,該被滲聚合物阻劑特徵包括: 一有機組份;以及 一無機組份,其包括滲入該有機組份內之複數矽(Si)原子。
  51. 如申請專利範圍第50項所述之結構,其中,滲入該有機組份內之該複數矽原子的濃度大於0.1原子百分比(atomic percentage)。
  52. 如申請專利範圍第50項所述之結構,其中,滲入該有機組份內之該複數矽原子係均勻地分布於整個該有機組份中。
  53. 如申請專利範圍第50項所述之結構,其中,該無機組份更包括滲入該有機組份中之複數氧原子。
  54. 如申請專利範圍第53項所述之結構,其中,該複數矽原子係設置於該有機組份中,該複數矽原子為元素矽(Si)及氧化矽(Six Oy )。
  55. 如申請專利範圍第53項所述之結構,其中,該無機組份更包括氧化矽(Six Oy )。
  56. 如申請專利範圍第50項所述之結構,其中,該被滲聚合物阻劑包括下述至少一者:一光阻、一極紫外線微影(EUV)阻劑、一浸潤光阻、一化學放大阻劑(CAR)或一電子束阻劑。
TW108117489A 2018-06-01 2019-05-21 滲入設備及滲入可滲性材料之方法 TWI826451B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/996,286 US20190368040A1 (en) 2018-06-01 2018-06-01 Infiltration apparatus and methods of infiltrating an infiltrateable material
US15/996,286 2018-06-01

Publications (2)

Publication Number Publication Date
TW202003914A true TW202003914A (zh) 2020-01-16
TWI826451B TWI826451B (zh) 2023-12-21

Family

ID=68172230

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108117489A TWI826451B (zh) 2018-06-01 2019-05-21 滲入設備及滲入可滲性材料之方法

Country Status (6)

Country Link
US (1) US20190368040A1 (zh)
JP (1) JP7420744B2 (zh)
KR (1) KR20210016349A (zh)
CN (1) CN112204166B (zh)
TW (1) TWI826451B (zh)
WO (1) WO2019229537A2 (zh)

Families Citing this family (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6071562A (en) * 1998-05-07 2000-06-06 Lsi Logic Corporation Process for depositing titanium nitride films
JP2000031136A (ja) * 1998-07-09 2000-01-28 Tokai Carbon Co Ltd プラズマ処理装置用保護部材
US6451512B1 (en) * 2000-05-01 2002-09-17 Advanced Micro Devices, Inc. UV-enhanced silylation process to increase etch resistance of ultra thin resists
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US20040253377A1 (en) * 2002-10-24 2004-12-16 Bok Lowell D. Batch and continuous CVI densification furnace
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
EP1744795A1 (en) * 2004-05-14 2007-01-24 Becton, Dickinson and Company Articles having bioactive surfaces and solvent-free methods of preparation thereof
US7691443B2 (en) * 2005-05-31 2010-04-06 Goodrich Corporation Non-pressure gradient single cycle CVI/CVD apparatus and method
JP5200371B2 (ja) * 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
KR101366002B1 (ko) * 2010-04-09 2014-02-21 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
US8980418B2 (en) * 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
EP2896718A4 (en) * 2012-08-17 2016-04-06 Ihi Corp METHOD FOR PRODUCING A HEAT-RESISTANT COMPOSITE MATERIAL AND MANUFACTURING DEVICE
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9147574B2 (en) * 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9411237B2 (en) * 2013-03-14 2016-08-09 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
JP6249815B2 (ja) * 2014-02-17 2017-12-20 株式会社Ihi 耐熱複合材料の製造方法及び製造装置
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9673042B2 (en) * 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10550010B2 (en) * 2015-12-11 2020-02-04 Uchicago Argonne, Llc Oleophilic foams for oil spill mitigation
JP6545093B2 (ja) * 2015-12-14 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6573578B2 (ja) * 2016-05-31 2019-09-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
CA2974387A1 (en) * 2016-08-30 2018-02-28 Rolls-Royce Corporation Swirled flow chemical vapor deposition
JP6456893B2 (ja) * 2016-09-26 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate

Also Published As

Publication number Publication date
CN112204166A (zh) 2021-01-08
WO2019229537A3 (en) 2020-03-05
TWI826451B (zh) 2023-12-21
JP7420744B2 (ja) 2024-01-23
WO2019229537A2 (en) 2019-12-05
KR20210016349A (ko) 2021-02-15
CN112204166B (zh) 2024-01-26
US20190368040A1 (en) 2019-12-05
JP2021525455A (ja) 2021-09-24

Similar Documents

Publication Publication Date Title
TWI826451B (zh) 滲入設備及滲入可滲性材料之方法
TWI827645B (zh) 基板處理設備及方法
TWI810405B (zh) 形成紫外輻射反應性含金屬氧化物膜之方法
US20210033977A1 (en) Substrate processing apparatus and method
KR102626263B1 (ko) 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US20210247693A1 (en) Method of forming an enhanced unexposed photoresist layer
KR102513600B1 (ko) 산화물 박막의 증착
US10825679B2 (en) Selective growth of SIO2 on dielectric surfaces in the presence of copper
JP6516797B2 (ja) 周期的処理を使用した選択的膜堆積のための方法及び装置
JP2022101465A (ja) フォトレジスト接着および線量低減のための下層
JP2021503547A (ja) 金属表面上の金属酸化物のaldのための方法
KR20180123429A (ko) 기판 상에 실리콘 질화막을 선택적으로 형성하는 방법 및 관련 반도체 소자 구조체
KR20180073483A (ko) 기판 상의 구조물 형성 방법
KR102394352B1 (ko) 금속 막들의 선택적 증착을 위한 방법들 및 전구체들
WO2016130238A1 (en) Selectively lateral growth of silicon oxide thin film
US20210002765A1 (en) Thermal ald of metal oxide using issg
TW202246560A (zh) 於基材表面上形成氮化硼之方法及系統