US20110143548A1 - Ultra low silicon loss high dose implant strip - Google Patents

Ultra low silicon loss high dose implant strip Download PDF

Info

Publication number
US20110143548A1
US20110143548A1 US12/636,582 US63658209A US2011143548A1 US 20110143548 A1 US20110143548 A1 US 20110143548A1 US 63658209 A US63658209 A US 63658209A US 2011143548 A1 US2011143548 A1 US 2011143548A1
Authority
US
United States
Prior art keywords
work piece
plasma
gas
carbon
fluorocarbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/636,582
Other languages
English (en)
Inventor
David Cheung
Haoquan Fang
Jack Kuo
Ilia Kalinovski
Ted Li
Andrew Yao
Anirban Guha
Kirk Ostrowski
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Priority to US12/636,582 priority Critical patent/US20110143548A1/en
Assigned to NOVELLUS SYSTEMS, INC. reassignment NOVELLUS SYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: OSTROWSKI, KIRK, FANG, HAOQUAN, KALINOVSKI, ILIA, LI, TED, CHEUNG, DAVID, GUHA, ANIRBAN, KUO, JACK, YAO, ANDREW
Priority to PCT/US2010/059388 priority patent/WO2011071980A2/en
Priority to KR1020117012215A priority patent/KR101226411B1/ko
Priority to JP2012543229A priority patent/JP5888652B2/ja
Priority to CN201080056124.1A priority patent/CN102870198B/zh
Priority to SG2011040862A priority patent/SG171962A1/en
Priority to TW099143367A priority patent/TWI559363B/zh
Publication of US20110143548A1 publication Critical patent/US20110143548A1/en
Priority to US14/721,977 priority patent/US9564344B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Definitions

  • the present invention pertains to methods and apparatuses to remove or strip photoresist material and removing related residues from a work piece surface.
  • this application relates to methods and apparatus for stripping resist after ion implant or plasma assisting doping implant (low dose or high-dose implanted resist).
  • Photoresist is a light sensitive material used in certain fabrication processes to form a patterned coating on a work piece, e.g., a semiconductor wafer, during processing. After exposing the photoresist coated surface to a pattern of high energy radiation, a portion of the photoresist is removed to reveal the surface below, leaving the rest of the surface protected. Semiconductor processes such as etching, depositing, and ion implanting are performed on the uncovered surface and the remaining photoresist. After performing one or more semiconductor processes, the remaining photoresist is removed in a strip operation.
  • dopant ions e.g., ions of boron, boron difluoride, indium, gallium, thallium, phosphorous, arsenic, antimony, bismuth, or germanium
  • the ions implant in exposed regions of the work piece as well as in the remaining photoresist surface.
  • the process may form well regions (source/drain) and lightly doped drain (LDD) and doubled diffused drain (DDD) regions.
  • LDD lightly doped drain
  • DDD doubled diffused drain
  • the ion implant impregnates the resist with the implant species and depletes the surface of hydrogen.
  • the outer layer or crust of the resist forms a carbonized layer that may be much denser than the underlying bulk resist layer. These two layers have different thermal expansion rates and react to stripping processes at different rates.
  • the difference between the outer layer and bulk layer is quite pronounced in post high-dose ion implant resist.
  • the ion dose may be greater than 1 ⁇ 10 15 ions/cm 2 and the energy may be from 10 Kev to greater than 100 keV.
  • Traditional high dose implantation strip (HDIS) processes employ oxygen chemistries where monatomic oxygen plasma is formed away from the process chamber and then directed at the work piece surface. The reactive oxygen combines with the photoresist to form gaseous by-products which is removed with a vacuum pump. For HDIS, additional gases are needed to remove the implanted dopants with oxygen.
  • Residues are commonly found on the substrate surface after HDIS and stripping. They may result from sputtering during the high-energy implant, incomplete removal of crust, and/or oxidation of implant atoms in the resist. After stripping, the surface should be residue free or substantially residue free to ensure high yield and eliminate the need for additional residue removal processing. Residues may be removed by overstripping, i.e., a continuation of the strip process past the point nominally required to remove all photoresist. Unfortunately, in conventional HDIS operations, overstripping sometimes removes some of the underlying functional device structure. At the device layer, even very little silicon loss from the transistor source/drain regions may adversely affect device performance and yield, especially for ultra shallow junction devices fabricated at the ⁇ 32 nm design rule or below.
  • plasma is generated using elemental hydrogen, a fluorine-containing gas and a protectant gas.
  • the plasma-activated gases reacts with the high-dose implant resist, removing both the crust and bulk resist layers, while simultaneously protecting exposed portions of the work piece surface.
  • the work piece surface is left substantially residue free with low silicon loss.
  • FIGS. 1A-1D depict various stages of semiconductor device fabrication before and after ion implantation and stripping operations.
  • FIGS. 2A-2D depict various stages of semiconductor device fabrication before and after ion implantation and stripping operations according to certain embodiments in which the device includes a metal gate.
  • FIG. 3A shows residue remaining as functions of NF3 flow rate and CF4 flow rate.
  • FIG. 3B shows silicon loss as functions of NF3 flow rate and CF4 flow rate.
  • FIGS. 4 and 5 are a process flow diagrams showing various operations in accordance with certain embodiments of the present invention.
  • FIG. 6 shows silicon loss as a function of CO2 flow rate.
  • FIG. 7 shows a multi-station sequential architecture suitable for implementing aspects of the present invention.
  • FIG. 8 is a schematic illustration showing an apparatus suitable for implementing aspects of the present invention.
  • the terms “work piece”, “semiconductor wafer”, “wafer” and “partially fabricated integrated circuit” will be used interchangeably.
  • the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. The following detailed description assumes the invention is implemented on a wafer. However, the invention is not so limited.
  • the work piece may be of various shapes, sizes, and materials.
  • other work pieces that may take advantage of this invention include various articles such as displays, printed circuit boards, and the like.
  • the methods and apparatus of the invention may be used to efficiently and effectively to remove photoresist materials after high-dose ion implantation.
  • the invention is not limited to high-dose implant strip (HDIS).
  • HDIS high-dose implant strip
  • the invention is also not limited to any particular category of dopants implanted.
  • described methods and apparatus may be effectively used with stripping after medium or low dose implant. Although specific dopant ions such as boron, arsenic, and phosphorous are discussed, the described methods and apparatus may be effectively used to strip resist impregnated with other dopants, such as nitrogen, oxygen, carbon, germanium, and aluminum.
  • the methods and apparatus of the present invention use plasmas that are produced from gases that contain hydrogen.
  • the gases also contain a weak oxidizing agent, a fluorine-containing gas and a protectant gas, such as CF 4 .
  • a weak oxidizing agent such as CF 4
  • the actual species present in the plasma may be a mixture of different ions, radicals, and molecules derived from the hydrogen, weak oxidizing agent, fluorine containing gas and protectant gas.
  • other species may be present in the reaction chamber, such as small hydrocarbons, carbon dioxide, water vapor and other volatile components as the plasma reacts with and breaks down the organic photoresist and other residues.
  • the initial gas/gases introduced into the plasma is/are often different from the gas/gases that exist in the plasma as well as the gas/gases contact the work piece surface during strip.
  • FIG. 1A to 1D depicts various stages of semiconductor fabrication before and after ion implantation and stripping operations.
  • FIG. 1A shows a semiconductor substrate 101 coated with photoresist material 103 .
  • the substrate 101 may include one or more layers of deposited film, e.g., oxide film, silicide contact, and/or polysilicon film, or may be a bare silicon substrate, including for example a silicon-on-insulator type substrate.
  • the photoresist material coats the entire substrate surface.
  • the photoresist is then exposed to patterned radiation generated through a mask and developed to remove a portion of the material, e.g., the opening 104 shown in FIG. 1A between the remaining photoresist materials 103 .
  • the substrate is then exposed to an ion implant process.
  • ion implant the surface of the work piece or wafer is implanted with dopant ions.
  • the process may be, for example, a plasma-immersion ion implantation (PIII) or ion beam implantation.
  • the ions bombard the substrate surface, including the exposed silicon layer 101 and the photoresist 103 .
  • small amounts of the underlying material 107 may be sputtered to the photoresist sidewalls. See FIG. 1B .
  • This material may include some of the implant species, other material in the plasma or ion beam, and by-products of the implantation. They include silicon, aluminum, carbon, fluorine, titanium, other contact materials such as cobalt, and oxygen in both elemental and compound forms.
  • the actual species depend on the composition of the substrate before ion implant, the photoresist, and the implanted species.
  • a doped region 109 is created.
  • the ion energy or intensity of the bombardment determines the depth or thickness of the doped region.
  • the density of the ion flux determines the extent of doping.
  • the ions also impregnate the photoresist surface creating a crust layer 105 .
  • the crust layer 105 may be carbonized and highly cross-linked polymer chains.
  • the crust is usually depleted of hydrogen and impregnated with the implant species.
  • the crust layer 105 is denser than the bulk resist layer 103 .
  • the relative density depends on the ion flux while the thickness of the crust layer depends on the ion energy.
  • This crust layer 105 is harder to strip than the bulk photoresist 103 below. Removal rates of the crust layer may be 50% or 75% slower than the underlying bulk photoresist.
  • the bulk photoresist contains relatively high levels of chemically bonded nitrogen and some of its original casting solvent. At elevated wafer temperature, e.g., above 150 to above 200° C., the bulk resist can outgas and expand relative to the crust layer. The entire photoresist can then “pop” as the underlying bulk photoresist builds up pressure under the crust. Photoresist popping is a source of particles and process defects because the residues are especially hard to clean from the wafer surface and chamber internal parts. With high-dose ion implantation, the density difference between the crust and underlying bulk photoresist layer is even higher. The crust may also be thicker.
  • FIG. 1C shows the substrate after a strip that fails to completely remove the photoresist 103 and the sidewall sputter residue 107 .
  • the sidewall sputter residue 107 may include particles that do not form a volatile compound under conventional strip chemistries. These particles may remain after a conventional strip operation.
  • the residue may also include oxides of implanted species formed with the reactive oxygen used in the conventional strip chemistry, such as boron oxide and arsenic oxide. Portions of the crust 105 may also remain on the substrate. Crust sidewalls and corners at the bottom of photoresist vias may be hard to strip because of geometries.
  • Silicon loss is a function of resist thickness, crust thickness, and percent overstrip. Longer and more aggressive stripping to remove thicker resist can also remove more silicon. For resist with thicker crust, the difference between the crust layer and bulk resist layer is even more pronounced. The thicker crust sidewalls and corners are even harder to strip. Thus, strip processes designed to remove thick crust also tends to remove more silicon.
  • Overstrip may be used to address resist uniformity and geometries in addition to residue removal. Overstrip is a continuation of the strip process past the point nominally required to remove all photoresist. If the photoresist is totally removed in some areas of the wafer but not others, continuation of the strip process would cause additional material, typically silicon and silicon oxide, to be removed from areas that are already stripped. Typical overstrip is about 100%.
  • FIG. 1D shows the substrate after all residue has been removed.
  • the residue is removed without additional silicon loss or oxidation and with minimum delay.
  • the strip process leaves no residue and thus reduces the number of process steps.
  • FIGS. 2A-2D depicts various stages of semiconductor fabrication before and after ion implantation and stripping operations for a particular embodiment in which the device includes a metal gate.
  • FIG. 2A shows the partially fabricated device including metal gate stack 210 and patterned photoresist 203 on semiconductor substrate 201 prior to ion implantation. Note that patterned photoresist 203 partially obscures the view of metal gate stack 210 in the depicted figure.
  • substrate 201 is a silicon-on-insulator substrate.
  • Shallow trench isolation (STI) regions 205 are embedded with substrate 201 and are generally trenches filled with an insulating material such as silicon oxide.
  • FIG. 2B shows the device during implantation, including ion beam flux 214 and resputter flux 216 .
  • STI shallow trench isolation
  • the resputter flux 216 deposits substrate materials (Si, STI and SiN) on the sidewall. After implantation, a crust 215 is formed on the top ( 215 a ) and sidewalls ( 215 b ) of the bulk photoresist 203 as shown in FIG. 2C .
  • the top crust 215 a and side crust 215 b may see different environments during implantation due to the angle of the ion implant beam flux 214 as well as the sidewall deposition from the resputter flux 216 .
  • the bulk photoresist 203 and crust formations 215 a and 215 b are removed, leaving an undamaged metal gate 210 and minimal loss of surface material from the surfaces of substrate 201 and STI regions 205 , as shown in FIG. 2D .
  • the metal gate stack can include one or more of titanium nitride (TiN), Ta, TaN, or W.
  • TiN titanium nitride
  • Ta tantadium
  • TaN tantadium
  • W tantadium
  • a high-k gate dielectric such as hafnium oxide, zirconium oxide and titanium oxide may be disposed between the substrate and metal gate.
  • metal gates are incompatible with conventional oxygen-based strip chemistries.
  • conventional oxygen-based chemistries result in high silicon loss.
  • One aspect of the invention relates to novel strip chemistries for high dose implant resist and residue removal that limit silicon loss.
  • the photoresist and residues are exposed to a plasma formed from molecular hydrogen, a weak oxidizing agent, a fluorine-containing compound and a protectant compound.
  • the disclosed processes achieve a substantially residue free strip process with minimal silicon loss and are compatible with metal gates.
  • fluorine radicals in the plasma combine with hydrogen in the process gas to form hydrogen fluoride (HF) instead of remaining as fluorine radicals.
  • HF hydrogen fluoride
  • the silicon loss is believed to be reduced in part because the protectant compound reacts with the surface silicon to form protective polymerized films, carbides, nitrides or other non-oxide protective layers, which have lower etch rates than oxides in HF.
  • the strip process involves generating a plasma from a gas including various component gases.
  • the strip chemistries described herein are hydrogen-based, rather than oxygen-based.
  • Molecular hydrogen (H 2 ) is the main component of the plasma-generating gas, with 1,000-40,000 sccm, e.g., 1,000-6,000 sccm, H 2 run in the background, with example flow rates of other components of the plasma-generating gas being at least an order of magnitude.
  • the other component gases include a fluorine-containing compound and a protectant compound.
  • carbon dioxide or other weak oxidizing agent is included, though in certain embodiments it is not.
  • weak oxidizing agents include carbon oxides such as carbon dioxide (CO 2 ), carbon monoxide (CO), nitrogen oxides such as nitrous oxide (N 2 O), nitric oxide (NO), nitrogen dioxide (NO 2 ), and sulfur oxides such as sulfur oxide (SO) and sulfur dioxide (SO 2 ).
  • other weak oxides include any oxygen containing hydrocarbons (C X H Y O Z ) and water (H 2 O).
  • the weak oxidizing agent is a carbon-containing compound.
  • carbon dioxide is used as the weak oxidizing agent because it is cheap, safe, and effective.
  • the fluorine-containing gas can be nitrogen trifluoride (NF 3 ), sulfur hexafluoride (SF 6 ), hexafluoroethane (C 2 F 6 ), tetrafluoromethane (CF4), trifluoromethane (CHF 3 ), difluoromethane (CH 2 F 2 ), octofluoropropane (C 3 F 8 ), octofluorocyclobutane (C 4 F 8 ), octofluoro[1-]butane (C 4 F 8 ), octofluoro[2-]butane (C 4 F 8 ), octofluoroisobutylene (C 4 F 8 ), fluorine (F 2 ), and the like.
  • NF 3 nitrogen trifluoride
  • SF 6 sulfur hexafluoride
  • C 2 F 6 hexafluoroethane
  • CF4 tetrafluoromethane
  • CHF 3 triflu
  • the fluorine-containing gas is NF 3 , SF 6 , F 2 , or HF vapor. As described below, it has been found that these gases are superior strip gases than certain carbon-containing etchants such as CF 4 .
  • the fluorine-containing compound is a non-carbon containing compound.
  • NF 3 is used as the fluorine-containing gas.
  • the main etchant component in the chamber during the strip is HF vapor. Accordingly, in certain embodiments, any fluorine-containing gas that readily converts to HF vapor may be used.
  • the protectant compound is generally a carbon-containing compound, though in certain embodiments it may be a nitrogen-containing compound.
  • the protectant gas may also be a fluorine-containing compound.
  • the protectant compound is CF 4 .
  • the process chemistry generally includes both a fluorine-containing compound (e.g., NF 3 ) and a protectant compound (CF4), where these compounds are distinct. That is, even where the protectant compound contains fluorine, a distinct fluorine-containing compound is provided as well.
  • the fluorine-containing compound is a significantly stronger etchant than the protectant compound.
  • the protectant compound is a carbon-containing compound, with examples including CF 4 , and CH 4 .
  • the protectant compound is a nitrogen-containing compound.
  • the protectant compound reacts with, or provides reactant species to react with, the silicon/silicon oxide surfaces, forming for example, carbides and nitrides, that are more resistant to etching.
  • the etch rate of a nitride in HF is on the order of about 50 times less than that of an oxide, with the etch rate of a carbide about at least about an order of magnitude less than that of a nitride.
  • oxygen-containing compounds may be employed as protectant compounds, so long as the compounds are not strong oxidants.
  • the strip chemistry is H 2 /CO 2 /NF 3 /CF 4 , with example relative volumetric ratios being 100/0.1-1/0.5-4/2-5. In one example, a ratio is 3000/32/15/100.
  • the total flow rate of gas, the relative amount of weak oxidizing agent, fluorine-containing gas, protectant gas and other conditions in the strip chamber can vary depending upon, among other factors, plasma type (downstream versus direct), RF power, chamber pressure, substrate (wafer) size and type of weak oxidizing agent, fluorine-containing gas and protectant gas used.
  • the total flow rate of gas may range between about 1,000 sccm and about 40,000 sccm with the flow rate of carbon dioxide about 1 sccm and about 400 sccm and RF power will preferably range between about 300 Watts to about 5000 Watts.
  • Chamber pressures will typically range between about 300 mTorr and about 2 Torr, e.g., between about 800 mTorr and about 1.6 Torr.
  • the ratios of fluorine-containing gas and protectant gas are varied in certain process sequence to provide complete photoresist and residue removal and low silicon loss.
  • the carbon dioxide or other weak oxidizing agent is controlled to reduce silicon loss.
  • process sequences effective to remove the high dose implant crust and residue removal are provided.
  • the process sequences involve varying the ratio of the fluorine-containing gas and the protectant gas during the process sequence to provide the necessary removal.
  • the process sequences involve an operation in which the wafer is exposed to a plasma generated from a gas including a fluorine-containing and protectant component gases followed by an operation in which the wafer is exposed to a plasma generated from a fluorine-containing gas only. In certain embodiments, these operations may be reversed.
  • FIGS. 3A and 3B show experimental results indicating that the fluorine-containing gas (NF3) and a protectant gas (CF4) may be employed to both reduce residue and reduce silicon loss.
  • FIG. 3A shows a residue score, which indicates the amount of residue, as functions of NF3 and CF4 flow rates (with the other compound held at constant flow rate). As flow rate increases, the residue decreases due to the increased presence of fluorine. Note that in embodiments wherein the protectant gas is not a fluorine-containing compound, the curve for the protectant gas may be flattened.
  • FIG. 3B shows silicon loss as functions of NF3 and CF4 flow rates.
  • Silicon loss increases with increasing NF3 flow rate, due to the etching of the surface by activated fluorine species and/or fluorine-containing compounds in the plasma.
  • silicon loss decreases with increasing CF4 flow rate at a fixed NF3 flow rate such as 60 sccm as indicated on FIG. 3B .
  • the slope of the CF4 response is higher or lower depending on NF3 flow rate—illustrating the importance of having the right ratio.
  • the decrease in silicon loss with higher CF4 flow rates may be due to the formation of a carbon-containing protective film at the substrate surface.
  • the protective film may be formed by a polymerization reaction between carbon species and the silicon surface material.
  • the use of a fluorine-containing protective gas allows the protectant gas to simultaneously provide a protective effect as well as (along with the fluorine-containing gas) provide residue removal.
  • the process includes at least one operation that uses a combination of NF3 and CF4.
  • a post-high implant dose (post-HDI) wafer may be placed into a strip chamber. After pre-heating the wafer, H2/CO2/CF4/NF3 gas is introduced in the chamber, and a plasma struck. The wafer is exposed to the plasma for a period of time sufficient to clean the crust, bulk photoresist and other residue.
  • post-HDI post-high implant dose
  • the process sequence varies the relative amount of NF3 (or other fluorine-containing gas) and CF4 (or other protectant gas).
  • NF3 or other fluorine-containing gas
  • CF4 or other protectant gas
  • different process sequences may be employed.
  • sequences or parts of sequences that may be employed to remove parts of the bulk photoresist, crust and sputter residue, along with examples of process sequences for stripping various post-implant photoresist and residue formations.
  • the below description refers to NF3 and CF4, however, it should be understood that other fluorine-containing and protectant gases, respectively may be employed for either of these component gases.
  • a two step process for removing side and top crusts is employed, involving NF3+CF4, followed by NF3 only.
  • H2 and optionally CO2 are run in the background for all strip operations).
  • the two step process is employed to remove the side crust (NF3+CF4) followed by the top crust (NF3 only).
  • the NF3 only operation may involve a fluorine “spike” or “burst” in which the NF3 flow rate is increased by two or more times.
  • the following per-station flow rates may be applied: First operation (side crust): 2-3 liters per minute (lpm) H2; 32 sccm CO2; 100 sccm CF4; 15 sccm NF3.
  • top crust 2-3 lpm H2; 32 sccm CO2; 0 sccm CF4; 50 sccm NF3.
  • the flow rates described may be scaled up or down depending on the size and configuration of the reactor, wafer size, and dose time. It has been found that in certain cases using NF3 only, for an acceptable level of silicon loss, the top crust is removed, but the side crust is not removed. It has also been found that in certain cases using NF3+CF4, for an acceptable level of silicon loss, removes the side crust but not the top crust. Accordingly, employing a two-step process as discussed allows removal of both the side and top crusts.
  • FIG. 4 describes a process flow 400 for removing photoresist and crusts according to various embodiments.
  • the wafer is pre-heated to a temperature low enough to prevent popping, but high enough to provide an acceptable etch rate. According to various embodiments, this may be between 200 C-400 C, more particularly between 240 C-350 C, e.g., at 285 C.
  • the wafer is exposed to a plasma generated from hydrogen, carbon dioxide, nitrogen trifluoride and carbon tetrafluoride (H2/CO2/NF3/CF4).
  • Generating the plasma generally involves introducing the component gases (which may be pre-mixed or not) into a plasma source.
  • the plasma is a remote plasma source though it may also be in-situ (i.e., in the strip chamber).
  • This first operation may remove the side crust and the bulk photoresist, while protecting the surface from silicon loss.
  • the CF4 flow is turned off, and the wafer is exposed to a plasma generated from hydrogen, carbon dioxide and nitrogen trifluoride (H2/CO2/NF3) only. This operation removes the top crust residue.
  • H2/CO2/NF3 hydrogen, carbon dioxide and nitrogen trifluoride
  • the CF4/NF3 ratio may be changed as necessary by increasing or decreasing the flow rates.
  • a combination of CF4 and NF3 is employed to remove the bulk photoresist and/or side crusts.
  • NF3 is spiked at various points in the process to provide additional removal for residues not easily removed. Spiking NF3 may or may not involve reducing or turning the CF4 flow.
  • FIG. 5 shows an example of such a process 500 according to various embodiments. As with the previous example, the wafer is first pre-heated in an operation 501 .
  • the wafer is exposed to a plasma generated from H2/CO2/NF3/CF4, with NF3 spiked, in an operation 503 .
  • This operation may remove the crust while protecting against silicon loss. In certain embodiments, both top and side crusts may be removed.
  • the NF3 flow rate is reduced and the wafer is exposed to a plasma generated from H2/CO2/NF3/CF4 for removal of the bulk photoresist.
  • the CF4 flow is turned off and the wafer is exposed to a plasma generated from H2/CO2/NF3.
  • the NF3 is optionally spiked during this operation. This operation may be an overstrip operation to completely remove any remaining residue.
  • Overstripping refers to a continuation of the strip process past the point nominally required to remove all photoresist, and may involve stripping material from already cleaned surfaces. After removing byproducts (not shown), the process ends at an operation 509 , and the cleaned wafer may be removed.
  • spiking NF3 may be done at different stages in the process. For example, NF3 may be spiked at the beginning of the removal process to facilitate difficult top crust removal. The top crust may be removed at the beginning of the process to prevent the possibility of popping. Bulk photoresist removal may then be performed using a lower NF3 flow rate in combination with CF4. In certain embodiments, NF3 is spiked after removal of the bulk photoresist to facilitate stringer removal. Stringers are long, narrow photoresist residue segments that may be left by non-exposure between two adjacent exposure areas.
  • the NF3 and CF4 ratio during removal of all or part of the bulk photoresist may be considered to be a “base” ratio, with spikes measured relative to this ratio. So for example, taking a NF3:CF4 during bulk photoresist removal to be a “base,” according to various process sequences the ratio may be raised prior to and/or after the bulk photoresist removal.
  • the base ratio is 3:20 (e.g., 15 sccm NF3, 100 sccm CF4) with a spike raising the ratio to 1:2 (50 sccm NF3, 100 sccm CF4), or in cases in which CF4 is not present, infinity.
  • CF4 only and no NF3 may be present for one or more operations, however, in many embodiments, CF4 has been found to provide adequate protection allowing the process to take advantage of the higher removal rate of NF3.
  • a base ratio of 1:50-1:2 may be employed, with a spike being higher than the base ratio.
  • a NF3 spike may involve at least doubling the ratio of NF3:CF4.
  • a CO2 bleed gas is employed, and run at all times with H2. It has been found that for a 300 mm wafer, using 10-15 lpm H2 (2-3 lpm per station), running between about 100 sccm-300 sccm CO2 (20 to 60 sccm per station) results in less silicon loss than outside this range. This is shown in FIG. 6 .
  • the flow rates in FIG. 6 reflect the total CO2 over a 5-station chamber; on a per-station basis, the wafer sees 20 sccm-60 sccm CO2, more particularly 32 sccm.
  • a hydrogen-containing gas is introduced to the plasma source.
  • the gas introduced to the plasma source contains the chemically active species that will be ionized or otherwise in the plasma source to form a plasma.
  • the gas introduced to the plasma source includes a fluorine-containing gas such as elemental fluorine, nitrogen trifluoride, and sulfur hexafluoride.
  • the gas introduced to the plasma includes a protectant gas, typically a carbon-containing protectant gas.
  • the protectant gas is a fluorocarbon gas, such as carbon tetrafluoride, C 2 F 6 or a hydrofluorocarbon.
  • the gas introduced to the plasma source comprises between about 0.1% to about 3% carbon tetrafluoride by volume and about 0.3% to 2% nitrogen trifluoride by volume.
  • the gas introduced to the plasma source may include a weak oxidizing agent such as carbon dioxide, carbon monoxide, nitrogen dioxide, nitrogen oxide and/or water.
  • the weak oxidizing agent is carbon dioxide.
  • the inlet gas may include between about 1 and 99 volume percent, about 80 and 99.9 volume percent, or about 95 volume percent molecular hydrogen, between about 0 and 25 volume percent CO2 or other weak oxidizing agent, between about 0.1 and 3 volume percent nitrogen trifluoride or other non-carbon containing fluorine-containing compound and between about 0.1 and 6% volume percent carbon tetrafluoride or other protectant compound.
  • the gas inlet to the plasma source consists essentially of molecular hydrogen, carbon dioxide or other weak oxidizing agent, non-carbon containing fluorine-containing compound, and protectant compound.
  • the protectant gas flow is shut off in one or more operations in a process sequence
  • the gas inlet to the plasma source consists essentially of molecular hydrogen, carbon dioxide or other weak oxidizing agent and non-carbon containing fluorine-containing compound.
  • an additional one or more gasses may be added to the process gas. For example, an inert gas may be added.
  • the gas introduced to the plasma source may be premixed, partially mixed or unmixed. Individual gas sources may flow into a mixing plenum before being introduced to the plasma source. In other embodiments, the different gases may separately enter the plasma source.
  • the gas introduced to the plasma source may have different compositions when used in different reaction stations of a multistation chamber. For example in a 6-station chamber, station 1 (or station 2 , if station 1 is used for pre-heat) or station 6 may employ process gases with relatively higher amounts of NF3 gas to remove the crust or the residue, respectively. One or more of the other stations may employ process gases with little or no protectant gas. Process gases with no carbon dioxide or weak oxidizing agents may also be used.
  • RF plasma sources may be used in accordance with the invention, including RF, DC, and microwave based plasma sources.
  • a downstream RF plasma source is used.
  • the RF plasma power for a 300 mm wafer ranges between about 300 Watts to about 10 Kilowatts. In some embodiments, the RF plasma power is between about 2000 Watts and 5000 Watts, e.g., 3500 W.
  • the plasma gas is distributed to the work surface via a showerhead assembly.
  • the showerhead assembly may be grounded or have an applied voltage to attract some charge species while not affecting the flow of neutral species to the wafer, e.g., 0-1000 watt bias. Many of the electrically charged species in the plasma recombine at the showerhead.
  • the assembly includes the showerhead itself which may be a metal plate having holes to direct the plasma and inert gas mixture into the reaction chamber.
  • the showerhead redistributes the active hydrogen from the plasma source over a larger area, allowing a smaller plasma source to be used.
  • the number and arrangement of the showerhead holes may be set to optimize strip rate and strip rate uniformity.
  • the showerhead holes are preferably smaller and fewer in the center of the showerhead in order to push the active gases toward the outer regions.
  • the showerhead may have at least 100 holes.
  • Suitable showerhead include the Gamma xPR showerhead or the GxT drop-in showerhead available from Novellus Systems, Inc. of San Jose, Calif. In embodiments in which there is no showerhead assembly, the plasma enters the process chamber directly.
  • the process chamber may be any suitable reaction chamber for the strip operation being performed. It may be one chamber of a multi-chambered apparatus or it may simply be a single chamber apparatus. The chamber may also include multiple stations where different wafers are processed simultaneously. The process chamber may be the same chamber where the implant, etch, or other resist-mediated process takes place. In other embodiments, a separate chamber is reserved for the strip.
  • Process chamber pressure may range from about 600 mTorr to 2 Torr. In certain embodiments, the pressure ranges from about 0.9 Torr to 1.5 Torr.
  • the process chamber includes one or more processing stations on which strip operations are performed.
  • the one or more processing stations includes a preheat station, at least one strip station, and an over-ash station.
  • the wafer support is configured to support the wafer during processing.
  • the wafer support may also transfer heat to and from the wafer during processing to adjust the wafer temperature as necessary.
  • the wafer is supported on a plurality of minimum contacts and does not physically contact the wafer support surface plane.
  • a spindle picks up the wafer and transfers the wafer from one station to another.
  • FIG. 8 is a schematic illustration showing aspects of a downstream plasma apparatus 800 suitable for practicing the present invention on wafers.
  • Apparatus 800 has a plasma producing portion 811 and an exposure chamber 801 separated by a showerhead assembly 817 . Inside exposure chamber 801 , a wafer 803 rests on a platen (or stage) 805 . Platen 805 is fitted with a heating/cooling element. In some embodiments, platen 805 is also configured for applying a bias to wafer 803 . Low pressure is attained in exposure chamber 401 via vacuum pump via conduit 807 . Sources of gaseous hydrogen (with or without dilution/carrier gas) and carbon dioxide (or other weak oxidizing agent) provide a flow of gas via inlet 809 into plasma producing portion 811 of the apparatus.
  • gaseous hydrogen with or without dilution/carrier gas
  • carbon dioxide or other weak oxidizing agent
  • Plasma producing portion 811 is surrounded in part by induction coils 813 , which are in turn connected to a power source 815 .
  • gas mixtures are introduced into plasma producing portion 811 , induction coils 813 are energized and a plasma is generated in plasma producing portion 811 .
  • showerhead assembly 817 may have an applied voltage or be grounded directs the flow of species into exposure chamber 801 .
  • wafer 803 may be temperature controlled and/or a RF bias may be applied.
  • Various configurations and geometries of the plasma source 811 and induction coils 813 may be used. For example, induction coils 813 may loop around the plasma source 811 in an interlaced pattern.
  • the plasma source 811 may be shaped as a dome instead of a cylinder.
  • a controller 850 may be connected to components of the process chamber, and control process gas composition, pressure, temperature and wafer indexing of the stripping operations.
  • Machine-readable media may be coupled to the controller and contain instructions for controlling process conditions for these operations.
  • Suitable plasma chambers and systems include the Gamma 2100, 2130 I 2 CP (Interlaced Inductively Coupled Plasma), G400, and GxT offered by Novellus Systems, Inc. of San Jose, Calif.
  • Other systems include the Fusion line from Axcelis Technologies Inc. of Rockville, Md., TERA21 from PSK Tech Inc. in Korea, and the Aspen from Mattson Technology Inc. in Fremont, Calif.
  • various strip chambers may be configured onto cluster tools. For example, a strip chamber may be added to a Centura cluster tool available from Applied Materials of Santa Clara, Calif.
  • the work piece used in accordance with the methods and apparatus of the invention is a semiconductor wafer. Any size wafer may be used. Most modern wafer fabrication facilities use either 200 mm or 300 mm wafers.
  • the process and apparatus disclosed herein strips photoresist after a processing operation such as etching, ion implant, or deposition.
  • the present invention is suitable for wafers having very small features or critical dimensions, e.g., sub 100 nm, at 65 nm, or at or less than 45 nm.
  • the low silicon loss feature of the HDIS as disclosed is particularly suitable for very shallow junctions of advanced logic devices.
  • the present invention is also specifically suitable for wafers undergoing front end of the line (FEOL) ion implantation, especially high-dose ion implantation.
  • FEOL front end of the line
  • the plasma-activated species reacts with the photoresist and sputter residue on the wafer.
  • the reactive gas may include a number of plasma activated species, the inert gas, radicals, charged species, and gas by-products.
  • the volume concentration of various hydrogen species may be about 20-80% of the gas at the wafer.
  • the volume concentration of various fluorine species may be 0.01% to about 2% or less than 1%.
  • the volume concentration of various species from the weak oxidizing agent may be 0.05 to about 5% or about 1.2%.
  • These species may include H 2 *, H 2 + , H + , H*, e ⁇ , OH, O*, CO, CO 2 , H 2 O, HF, F*, F ⁇ , CF, CF 2 , and CF 3 .
  • Process conditions may vary depending upon the wafer size. In some embodiments of the invention, it is desired to keep the work piece at a particular temperature during the application of plasmas to its surface. Wafer temperatures can range between about 110 degrees and about 500 degrees Celsius. To reduce the likelihood of photoresist popping described above, wafer temperature is preferably increased slowly until enough crust has been removed and photoresist popping ceases to be a concern. Initial station temperature may be about 110 degrees to about 260 degrees Celsius, for example, about 240 degrees Celsius. Later stations can use higher temperatures such as 285 degrees Celsius and about 350 degrees Celsius successfully with good strip rates. In certain embodiments, the temperature is increased during NF3 spikes to reduce Si loss associated with these spikes.
  • FIG. 7 is a simplified schematic showing a top view of such an apparatus including stations 1 , 2 , 3 , 4 , 5 and 6 . Wafers enter the apparatus at station 1 via chamber 701 , are transferred to each station in sequence for a processing operation at that station and exit from station 6 via chamber 702 after the process is complete.
  • the architecture allows hydrogen based residue free high dose implant strip process with low silicon loss and TiN metal gate compatibility.
  • the above process is an example of a process sequence including a NF3 spike in station 6 .
  • the above process is an example of a process sequence including a NF3 spike for half of the exposure time in station 2 , during crust removal.
  • a wafer may be in the station for 18 seconds, with NF3 spiked for the second 9 seconds.
  • the above process is an example of a process in which CF4 is shut off at a point during the station 2 exposure time, e.g., to aid in crust removal.
  • the above process sequences provide examples showing how the strip may be controlled by modifying the relative NF3 and CF4 flow rates.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
US12/636,582 2009-12-11 2009-12-11 Ultra low silicon loss high dose implant strip Abandoned US20110143548A1 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US12/636,582 US20110143548A1 (en) 2009-12-11 2009-12-11 Ultra low silicon loss high dose implant strip
PCT/US2010/059388 WO2011071980A2 (en) 2009-12-11 2010-12-08 Ultra low silicon loss high dose implant strip
KR1020117012215A KR101226411B1 (ko) 2009-12-11 2010-12-08 초저실리콘 손실 고농도 주입 박리
JP2012543229A JP5888652B2 (ja) 2009-12-11 2010-12-08 方法、装置および製造方法
CN201080056124.1A CN102870198B (zh) 2009-12-11 2010-12-08 极低硅损失高剂量植入剥离
SG2011040862A SG171962A1 (en) 2009-12-11 2010-12-08 Ultra low silicon loss high dose implant strip
TW099143367A TWI559363B (zh) 2009-12-11 2010-12-10 極低矽損失高劑量植入剝離
US14/721,977 US9564344B2 (en) 2009-12-11 2015-05-26 Ultra low silicon loss high dose implant strip

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/636,582 US20110143548A1 (en) 2009-12-11 2009-12-11 Ultra low silicon loss high dose implant strip

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/721,977 Continuation US9564344B2 (en) 2009-12-11 2015-05-26 Ultra low silicon loss high dose implant strip

Publications (1)

Publication Number Publication Date
US20110143548A1 true US20110143548A1 (en) 2011-06-16

Family

ID=44143417

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/636,582 Abandoned US20110143548A1 (en) 2009-12-11 2009-12-11 Ultra low silicon loss high dose implant strip
US14/721,977 Active US9564344B2 (en) 2009-12-11 2015-05-26 Ultra low silicon loss high dose implant strip

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/721,977 Active US9564344B2 (en) 2009-12-11 2015-05-26 Ultra low silicon loss high dose implant strip

Country Status (7)

Country Link
US (2) US20110143548A1 (ko)
JP (1) JP5888652B2 (ko)
KR (1) KR101226411B1 (ko)
CN (1) CN102870198B (ko)
SG (1) SG171962A1 (ko)
TW (1) TWI559363B (ko)
WO (1) WO2011071980A2 (ko)

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080248656A1 (en) * 2007-04-04 2008-10-09 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20090053901A1 (en) * 2004-12-13 2009-02-26 Novellus Systems Inc. High dose implantation strip (hdis) in h2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US8444869B1 (en) 2006-10-12 2013-05-21 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
WO2014039425A1 (en) * 2012-09-04 2014-03-13 Matheson Tri-Gas, Inc. In-situ generation of the molecular etcher carbonyl fluoride or any of its variants and its use
US20140120635A1 (en) * 2012-10-30 2014-05-01 Tokyo Electron Limited Etching method and substrate processing apparatus
US8721797B2 (en) 2009-12-11 2014-05-13 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20150075715A1 (en) * 2012-07-02 2015-03-19 Novellus Systems, Inc. Polysilicon etch with high selectivity
US20150243883A1 (en) * 2014-02-21 2015-08-27 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9564344B2 (en) 2009-12-11 2017-02-07 Novellus Systems, Inc. Ultra low silicon loss high dose implant strip
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN112368803A (zh) * 2018-12-11 2021-02-12 玛特森技术公司 半导体设备制造中材料去除和表面处理的整合
US20220254660A1 (en) * 2021-02-05 2022-08-11 Linco Technology Co., Ltd. Substrate processing apparatus
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10078266B2 (en) * 2016-02-26 2018-09-18 Mattson Technology, Inc. Implanted photoresist stripping process
US10872761B2 (en) * 2018-06-25 2020-12-22 Mattson Technology Inc. Post etch defluorination process
CN111341657A (zh) * 2018-12-19 2020-06-26 夏泰鑫半导体(青岛)有限公司 等离子体处理方法
US11361976B2 (en) * 2019-11-25 2022-06-14 Tokyo Electron Limited Substrate processing method and plasma processing apparatus

Citations (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201579A (en) * 1978-06-05 1980-05-06 Motorola, Inc. Method for removing photoresist by hydrogen plasma
US5122225A (en) * 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5593541A (en) * 1993-05-14 1997-01-14 Applied Materials, Inc. Method of manufacturing using corrosion-resistant apparatus comprising rhodium
US5626678A (en) * 1994-01-25 1997-05-06 Applied Materials, Inc. Non-conductive alignment member for uniform plasma processing of substrates
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
US5707485A (en) * 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
US5767021A (en) * 1992-06-22 1998-06-16 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5811358A (en) * 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
US5900351A (en) * 1995-01-17 1999-05-04 International Business Machines Corporation Method for stripping photoresist
US5908672A (en) * 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US6013574A (en) * 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6177347B1 (en) * 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6184134B1 (en) * 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6209484B1 (en) * 1996-06-28 2001-04-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US20020000202A1 (en) * 2000-06-29 2002-01-03 Katsuhisa Yuda Remote plasma apparatus for processing sustrate with two types of gases
US20020005392A1 (en) * 1997-11-17 2002-01-17 Leroy Luo Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6342446B1 (en) * 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
US6348725B2 (en) * 1998-02-11 2002-02-19 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6350701B1 (en) * 1995-07-29 2002-02-26 Semiconductor Energy Laboratory Co., Ltd Etching system
US6358573B1 (en) * 1997-12-01 2002-03-19 Applied Materials, Inc. Mixed frequency CVD process
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US20020039625A1 (en) * 1999-09-30 2002-04-04 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US20020045331A1 (en) * 2000-10-17 2002-04-18 Aminpur Massud A. Method of producing a semiconductor device using feature trimming
US20020072016A1 (en) * 2000-12-13 2002-06-13 Applied Materials, Inc. Substrate cleaning apparatus and method
US20030036284A1 (en) * 2001-08-16 2003-02-20 Yu-Ren Chou Method for removing the photoresist layer of ion-implanting process
US20030045115A1 (en) * 2001-08-28 2003-03-06 Sunfei Fang Method of cleaning an inter-level dielectric interconnect
US20030045131A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6537929B1 (en) * 1998-02-11 2003-03-25 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6537422B2 (en) * 2000-04-26 2003-03-25 Tokyo Electron Limited Single-substrate-heat-processing apparatus for semiconductor process
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US6569257B1 (en) * 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6680164B2 (en) * 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
US6693043B1 (en) * 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US6709715B1 (en) * 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6720132B2 (en) * 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
US20040084412A1 (en) * 2001-05-14 2004-05-06 Carlo Waldfried Plasma ashing process
US6797188B1 (en) * 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US20050097923A1 (en) * 2003-11-12 2005-05-12 General Electric Company System and support rod assembly for sintering fiber optic sleeve tubes
US20050106888A1 (en) * 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
US6900135B2 (en) * 2002-08-27 2005-05-31 Applied Materials, Inc. Buffer station for wafer backside cleaning and inspection
US20060046482A1 (en) * 2004-08-26 2006-03-02 Applied Materials, Inc. Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
US20060106888A1 (en) * 2002-10-31 2006-05-18 Kentarou Iida Data update system, differential data creating device and program for data update system, updated file restoring device and program
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US7160821B2 (en) * 1998-02-11 2007-01-09 Applied Materials, Inc. Method of depositing low k films
US20070040172A1 (en) * 2005-08-17 2007-02-22 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices
US7186648B1 (en) * 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US20070068900A1 (en) * 2005-09-27 2007-03-29 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US7205249B2 (en) * 1998-09-29 2007-04-17 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7288484B1 (en) * 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US20080026589A1 (en) * 2000-12-29 2008-01-31 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US20080044995A1 (en) * 2006-08-21 2008-02-21 Lam Research Corporation Trilayer resist organic layer etch
US7344993B2 (en) * 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US20080102646A1 (en) * 2006-10-26 2008-05-01 Mark Naoshi Kawaguchi Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US20090053901A1 (en) * 2004-12-13 2009-02-26 Novellus Systems Inc. High dose implantation strip (hdis) in h2 base chemistry
US20090061623A1 (en) * 2007-09-05 2009-03-05 United Microelectronics Corp. Method of forming electrical connection structure
US20100015812A1 (en) * 2001-02-15 2010-01-21 Tokyo Electron Limited Method and apparatus for processing workpiece
US7651949B2 (en) * 2004-12-27 2010-01-26 Dongbu Electronics Co., Ltd. Method of manufacturing a semiconductor device
US20100062591A1 (en) * 2008-09-08 2010-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for hk metal gate protection
US20110006034A1 (en) * 2009-07-13 2011-01-13 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
US8097527B2 (en) * 2007-07-11 2012-01-17 Jusung Engineering Co. Ltd. Method of forming epitaxial layer
US8129281B1 (en) * 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US20130048014A1 (en) * 2011-08-26 2013-02-28 Roey Shaviv Photoresist strip processes for improved device integrity
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8444869B1 (en) * 2006-10-12 2013-05-21 Novellus Systems, Inc. Simultaneous front side ash and backside clean

Family Cites Families (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4357203A (en) 1981-12-30 1982-11-02 Rca Corporation Plasma etching of polyimide
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH0777211B2 (ja) 1987-08-19 1995-08-16 富士通株式会社 アッシング方法
JPH0770524B2 (ja) 1987-08-19 1995-07-31 富士通株式会社 半導体装置の製造方法
US4961820A (en) 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
US5354386A (en) 1989-03-24 1994-10-11 National Semiconductor Corporation Method for plasma etching tapered and stepped vias
JPH05275326A (ja) 1992-03-30 1993-10-22 Sumitomo Metal Ind Ltd レジストのアッシング方法
JPH06208972A (ja) * 1993-01-12 1994-07-26 Matsushita Electric Ind Co Ltd プラズマ処理方法
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP2956524B2 (ja) * 1995-04-24 1999-10-04 日本電気株式会社 エッチング方法
US5817406A (en) 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
JPH0936099A (ja) * 1995-07-19 1997-02-07 Toshiba Corp ドライエッチング方法
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
JPH09205130A (ja) 1996-01-17 1997-08-05 Applied Materials Inc ウェハ支持装置
US5651860A (en) 1996-03-06 1997-07-29 Micron Technology, Inc. Ion-implanted resist removal method
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5814155A (en) 1996-06-26 1998-09-29 Vlsi Technology, Inc. Plasma ashing enhancement
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6129091A (en) 1996-10-04 2000-10-10 Taiwan Semiconductor Manfacturing Company Method for cleaning silicon wafers with deep trenches
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5830775A (en) 1996-11-26 1998-11-03 Sharp Microelectronics Technology, Inc. Raised silicided source/drain electrode formation with reduced substrate silicon consumption
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6177023B1 (en) 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JPH1187307A (ja) 1997-09-05 1999-03-30 Sony Corp レジストの除去方法及びその除去装置
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
EP0940846A1 (en) 1998-03-06 1999-09-08 Interuniversitair Micro-Elektronica Centrum Vzw Method for stripping ion implanted photoresist layer
US5980770A (en) 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6086952A (en) 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6107184A (en) 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US6121091A (en) 1999-01-19 2000-09-19 Taiwan Semiconductor Manufacturing Company Reduction of a hot carrier effect phenomena via use of transient enhanced diffusion processes
JP3728165B2 (ja) * 1999-01-28 2005-12-21 キヤノン株式会社 イオン注入されたホトレジストの残渣の処理方法及び半導体装置の製造方法
US6417080B1 (en) 1999-01-28 2002-07-09 Canon Kabushiki Kaisha Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
US6130166A (en) 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6767698B2 (en) 1999-09-29 2004-07-27 Tokyo Electron Limited High speed stripping for damaged photoresist
US20050022839A1 (en) 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
JP4221847B2 (ja) 1999-10-25 2009-02-12 パナソニック電工株式会社 プラズマ処理装置及びプラズマ点灯方法
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6352938B2 (en) 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
JP2001308078A (ja) 2000-02-15 2001-11-02 Canon Inc 有機物除去方法、半導体装置の製造方法及び有機物除去装置並びにシステム
US20010027023A1 (en) 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
US6667244B1 (en) 2000-03-24 2003-12-23 Gerald M. Cox Method for etching sidewall polymer and other residues from the surface of semiconductor devices
US6409932B2 (en) 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
US6426304B1 (en) 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US20020185226A1 (en) 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US6479391B2 (en) 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US6319842B1 (en) 2001-01-02 2001-11-20 Novellus Systems Incorporated Method of cleansing vias in semiconductor wafer having metal conductive layer
US6589879B2 (en) 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6723654B2 (en) 2001-03-30 2004-04-20 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6875702B2 (en) 2001-06-11 2005-04-05 Lsi Logic Corporation Plasma treatment system
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
JP5038567B2 (ja) 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
JP4838464B2 (ja) 2001-09-26 2011-12-14 東京エレクトロン株式会社 処理方法
JP4326746B2 (ja) 2002-01-07 2009-09-09 東京エレクトロン株式会社 プラズマ処理方法
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7074298B2 (en) 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US6656832B1 (en) 2002-07-25 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd Plasma treatment method for fabricating microelectronic fabrication having formed therein conductor layer with enhanced electrical properties
CN1678961B (zh) 2002-08-22 2010-05-05 大金工业株式会社 剥离液
US6777173B2 (en) 2002-08-30 2004-08-17 Lam Research Corporation H2O vapor as a processing gas for crust, resist, and residue removal for post ion implant resist strip
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
KR100476136B1 (ko) 2002-12-02 2005-03-10 주식회사 셈테크놀러지 대기압 플라즈마를 이용한 표면처리장치
US6780782B1 (en) * 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
KR20060038925A (ko) * 2003-05-07 2006-05-04 액셀리스 테크놀러지스, 인크. 광역온도범위의 척 시스템
US20040237997A1 (en) 2003-05-27 2004-12-02 Applied Materials, Inc. ; Method for removal of residue from a substrate
KR100542031B1 (ko) 2003-05-30 2006-01-11 피에스케이 주식회사 반도체 제조공정에서의 포토레지스트 제거방법
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7799685B2 (en) 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
US6924239B2 (en) 2003-10-14 2005-08-02 Texas Instruments Incorporated Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation
US20050158667A1 (en) 2004-01-20 2005-07-21 Applied Materials, Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
JP2005268312A (ja) 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
JP4421609B2 (ja) 2004-03-31 2010-02-24 富士通マイクロエレクトロニクス株式会社 基板処理装置および半導体装置の製造方法、エッチング装置
US7628864B2 (en) 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
JP2006073612A (ja) 2004-08-31 2006-03-16 Rohm Co Ltd レジスト除去方法
US7597816B2 (en) 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US20060051965A1 (en) 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7169623B2 (en) 2004-09-09 2007-01-30 Tegal Corporation System and method for processing a wafer including stop-on-aluminum processing
DE102004063036A1 (de) 2004-12-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden von Kontaktflecken
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7268071B2 (en) 2005-01-12 2007-09-11 Sony Corporation Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
US7432172B2 (en) * 2005-01-21 2008-10-07 Tokyo Electron Limited Plasma etching method
JP2006203035A (ja) 2005-01-21 2006-08-03 Tokyo Electron Ltd プラズマエッチング方法
JP2006221772A (ja) 2005-02-14 2006-08-24 Fuji Photo Film Co Ltd ディスク状情報媒体の製造方法
US7198677B2 (en) 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
JP2006351594A (ja) 2005-06-13 2006-12-28 Toshiba Ceramics Co Ltd 半導体ウェーハの電気特性の測定方法
JP2007019367A (ja) 2005-07-11 2007-01-25 Ricoh Co Ltd 半導体装置の製造方法
JP5011852B2 (ja) 2005-07-20 2012-08-29 富士通セミコンダクター株式会社 電子デバイスの製造方法
US7468326B2 (en) 2005-08-24 2008-12-23 United Microelectronics Corp. Method of cleaning a wafer
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
JP2007109744A (ja) 2005-10-11 2007-04-26 Tokuyama Corp 基板洗浄液
KR100742279B1 (ko) 2005-12-22 2007-07-24 삼성전자주식회사 반도체 소자의 제조 장치 및 방법
KR20070069802A (ko) 2005-12-28 2007-07-03 엘지.필립스 엘시디 주식회사 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법
US7432209B2 (en) 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7851369B2 (en) * 2006-06-05 2010-12-14 Lam Research Corporation Hardmask trim method
US7595005B2 (en) 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
DE102006062035B4 (de) 2006-12-29 2013-02-07 Advanced Micro Devices, Inc. Verfahren zum Entfernen von Lackmaterial nach einer Implantation mit hoher Dosis in einem Halbleiterbauelement
US8083963B2 (en) 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
JP5332052B2 (ja) 2007-06-01 2013-11-06 シャープ株式会社 レジスト除去方法、半導体製造方法、及びレジスト除去装置
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
JP5102653B2 (ja) 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US20090277871A1 (en) 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
US20090277472A1 (en) 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US20120024314A1 (en) 2010-07-27 2012-02-02 Axcelis Technologies, Inc. Plasma mediated ashing processes
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
TWI559501B (zh) 2009-08-07 2016-11-21 半導體能源研究所股份有限公司 半導體裝置和其製造方法
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP5770740B2 (ja) 2009-12-11 2015-08-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
US8415212B2 (en) 2010-03-11 2013-04-09 Freescale Semiconductor, Inc. Method of enhancing photoresist adhesion to rare earth oxides
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films

Patent Citations (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201579A (en) * 1978-06-05 1980-05-06 Motorola, Inc. Method for removing photoresist by hydrogen plasma
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5122225A (en) * 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
US5767021A (en) * 1992-06-22 1998-06-16 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5593541A (en) * 1993-05-14 1997-01-14 Applied Materials, Inc. Method of manufacturing using corrosion-resistant apparatus comprising rhodium
US5626678A (en) * 1994-01-25 1997-05-06 Applied Materials, Inc. Non-conductive alignment member for uniform plasma processing of substrates
US5900351A (en) * 1995-01-17 1999-05-04 International Business Machines Corporation Method for stripping photoresist
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
US6350701B1 (en) * 1995-07-29 2002-02-26 Semiconductor Energy Laboratory Co., Ltd Etching system
US6517913B1 (en) * 1995-09-25 2003-02-11 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6680420B2 (en) * 1995-09-25 2004-01-20 Applied Materials Inc. Apparatus for cleaning an exhaust line in a semiconductor processing system
US6689930B1 (en) * 1995-09-25 2004-02-10 Applied Materials Inc. Method and apparatus for cleaning an exhaust line in a semiconductor processing system
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5707485A (en) * 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
US6013574A (en) * 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US6209484B1 (en) * 1996-06-28 2001-04-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5811358A (en) * 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6361707B1 (en) * 1997-03-05 2002-03-26 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6230652B1 (en) * 1997-03-05 2001-05-15 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6395092B1 (en) * 1997-04-21 2002-05-28 Applied Materials, Inc. Apparatus for depositing high deposition rate halogen-doped silicon oxide layer
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US5908672A (en) * 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6797188B1 (en) * 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US20020005392A1 (en) * 1997-11-17 2002-01-17 Leroy Luo Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
US6358573B1 (en) * 1997-12-01 2002-03-19 Applied Materials, Inc. Mixed frequency CVD process
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6072227A (en) * 1998-02-11 2000-06-06 Applied Materials, Inc. Low power method of depositing a low k dielectric with organo silane
US6858153B2 (en) * 1998-02-11 2005-02-22 Applied Materials Inc. Integrated low K dielectrics and etch stops
US6730593B2 (en) * 1998-02-11 2004-05-04 Applied Materials Inc. Method of depositing a low K dielectric with organo silane
US6511903B1 (en) * 1998-02-11 2003-01-28 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6348725B2 (en) * 1998-02-11 2002-02-19 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US7160821B2 (en) * 1998-02-11 2007-01-09 Applied Materials, Inc. Method of depositing low k films
US6734115B2 (en) * 1998-02-11 2004-05-11 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6562690B1 (en) * 1998-02-11 2003-05-13 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6541282B1 (en) * 1998-02-11 2003-04-01 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6537929B1 (en) * 1998-02-11 2003-03-25 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US7023092B2 (en) * 1998-02-11 2006-04-04 Applied Materials Inc. Low dielectric constant film produced from silicon compounds comprising silicon-carbon bonds
US6869896B2 (en) * 1998-02-11 2005-03-22 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6511909B1 (en) * 1998-02-11 2003-01-28 Applied Materials, Inc. Method of depositing a low K dielectric with organo silane
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US7205249B2 (en) * 1998-09-29 2007-04-17 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6342446B1 (en) * 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6709715B1 (en) * 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6177347B1 (en) * 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US20020039625A1 (en) * 1999-09-30 2002-04-04 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US6184134B1 (en) * 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6537422B2 (en) * 2000-04-26 2003-03-25 Tokyo Electron Limited Single-substrate-heat-processing apparatus for semiconductor process
US20020000202A1 (en) * 2000-06-29 2002-01-03 Katsuhisa Yuda Remote plasma apparatus for processing sustrate with two types of gases
US6555472B2 (en) * 2000-10-17 2003-04-29 Advanced Micro Devices, Inc. Method of producing a semiconductor device using feature trimming
US20020045331A1 (en) * 2000-10-17 2002-04-18 Aminpur Massud A. Method of producing a semiconductor device using feature trimming
US6569257B1 (en) * 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US20020072016A1 (en) * 2000-12-13 2002-06-13 Applied Materials, Inc. Substrate cleaning apparatus and method
US20080026589A1 (en) * 2000-12-29 2008-01-31 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US20100015812A1 (en) * 2001-02-15 2010-01-21 Tokyo Electron Limited Method and apparatus for processing workpiece
US7186648B1 (en) * 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US20040084412A1 (en) * 2001-05-14 2004-05-06 Carlo Waldfried Plasma ashing process
US20030036284A1 (en) * 2001-08-16 2003-02-20 Yu-Ren Chou Method for removing the photoresist layer of ion-implanting process
US20030045115A1 (en) * 2001-08-28 2003-03-06 Sunfei Fang Method of cleaning an inter-level dielectric interconnect
US20030045131A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6680164B2 (en) * 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
US6720132B2 (en) * 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US6900135B2 (en) * 2002-08-27 2005-05-31 Applied Materials, Inc. Buffer station for wafer backside cleaning and inspection
US6693043B1 (en) * 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US20060106888A1 (en) * 2002-10-31 2006-05-18 Kentarou Iida Data update system, differential data creating device and program for data update system, updated file restoring device and program
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20050097923A1 (en) * 2003-11-12 2005-05-12 General Electric Company System and support rod assembly for sintering fiber optic sleeve tubes
US20050106888A1 (en) * 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
US7288484B1 (en) * 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US20070037396A1 (en) * 2004-08-26 2007-02-15 Steven Verhaverbeke Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
US20060046482A1 (en) * 2004-08-26 2006-03-02 Applied Materials, Inc. Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US20090053901A1 (en) * 2004-12-13 2009-02-26 Novellus Systems Inc. High dose implantation strip (hdis) in h2 base chemistry
US8641862B2 (en) * 2004-12-13 2014-02-04 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20090056875A1 (en) * 2004-12-13 2009-03-05 Novellus Systems, Inc. Enhanced stripping of low-K films using downstream gas mixing
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US7651949B2 (en) * 2004-12-27 2010-01-26 Dongbu Electronics Co., Ltd. Method of manufacturing a semiconductor device
US7344993B2 (en) * 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US8129281B1 (en) * 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US20070040172A1 (en) * 2005-08-17 2007-02-22 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices
US20070068900A1 (en) * 2005-09-27 2007-03-29 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US20080044995A1 (en) * 2006-08-21 2008-02-21 Lam Research Corporation Trilayer resist organic layer etch
US8444869B1 (en) * 2006-10-12 2013-05-21 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080102646A1 (en) * 2006-10-26 2008-05-01 Mark Naoshi Kawaguchi Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8097527B2 (en) * 2007-07-11 2012-01-17 Jusung Engineering Co. Ltd. Method of forming epitaxial layer
US20090061623A1 (en) * 2007-09-05 2009-03-05 United Microelectronics Corp. Method of forming electrical connection structure
US20100062591A1 (en) * 2008-09-08 2010-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for hk metal gate protection
US20110006034A1 (en) * 2009-07-13 2011-01-13 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
US20130048014A1 (en) * 2011-08-26 2013-02-28 Roey Shaviv Photoresist strip processes for improved device integrity

Cited By (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9941108B2 (en) 2004-12-13 2018-04-10 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20090053901A1 (en) * 2004-12-13 2009-02-26 Novellus Systems Inc. High dose implantation strip (hdis) in h2 base chemistry
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8641862B2 (en) 2004-12-13 2014-02-04 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US8716143B1 (en) 2005-05-12 2014-05-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US8444869B1 (en) 2006-10-12 2013-05-21 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20080248656A1 (en) * 2007-04-04 2008-10-09 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US9373497B2 (en) 2007-04-04 2016-06-21 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US9564344B2 (en) 2009-12-11 2017-02-07 Novellus Systems, Inc. Ultra low silicon loss high dose implant strip
US8721797B2 (en) 2009-12-11 2014-05-13 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9673041B2 (en) 2010-04-15 2017-06-06 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for patterning applications
US9570290B2 (en) 2010-04-15 2017-02-14 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US20150075715A1 (en) * 2012-07-02 2015-03-19 Novellus Systems, Inc. Polysilicon etch with high selectivity
WO2014039425A1 (en) * 2012-09-04 2014-03-13 Matheson Tri-Gas, Inc. In-situ generation of the molecular etcher carbonyl fluoride or any of its variants and its use
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US9419211B2 (en) * 2012-10-30 2016-08-16 Tokyo Electron Limited Etching method and substrate processing apparatus
US20140120635A1 (en) * 2012-10-30 2014-05-01 Tokyo Electron Limited Etching method and substrate processing apparatus
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9905423B2 (en) 2013-11-07 2018-02-27 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US10192742B2 (en) 2013-11-07 2019-01-29 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9373500B2 (en) * 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US20150243883A1 (en) * 2014-02-21 2015-08-27 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US11479856B2 (en) 2015-07-09 2022-10-25 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN112368803A (zh) * 2018-12-11 2021-02-12 玛特森技术公司 半导体设备制造中材料去除和表面处理的整合
US20220254660A1 (en) * 2021-02-05 2022-08-11 Linco Technology Co., Ltd. Substrate processing apparatus

Also Published As

Publication number Publication date
TWI559363B (zh) 2016-11-21
CN102870198B (zh) 2017-05-31
KR101226411B1 (ko) 2013-01-24
SG171962A1 (en) 2011-07-28
WO2011071980A2 (en) 2011-06-16
JP2013513946A (ja) 2013-04-22
CN102870198A (zh) 2013-01-09
JP5888652B2 (ja) 2016-03-22
US9564344B2 (en) 2017-02-07
KR20110100196A (ko) 2011-09-09
WO2011071980A3 (en) 2011-09-01
TW201137936A (en) 2011-11-01
US20150332933A1 (en) 2015-11-19

Similar Documents

Publication Publication Date Title
US9564344B2 (en) Ultra low silicon loss high dose implant strip
US8193096B2 (en) High dose implantation strip (HDIS) in H2 base chemistry
US8721797B2 (en) Enhanced passivation process to protect silicon prior to high dose implant strip
US8591661B2 (en) Low damage photoresist strip method for low-K dielectrics
KR102013959B1 (ko) 개선된 디바이스 무결성을 위한 포토레지스트 스트립 공정들
US9514954B2 (en) Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US20050112883A1 (en) System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
KR101791685B1 (ko) 수소 이용 화학 반응으로 고용량 주입 스트립(hdis) 방법 및 장치
US20070269975A1 (en) System and method for removal of photoresist and stop layer following contact dielectric etch

Legal Events

Date Code Title Description
AS Assignment

Owner name: NOVELLUS SYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEUNG, DAVID;FANG, HAOQUAN;KUO, JACK;AND OTHERS;SIGNING DATES FROM 20100217 TO 20100301;REEL/FRAME:024017/0247

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION