CN103718277A - 干蚀刻剂 - Google Patents

干蚀刻剂 Download PDF

Info

Publication number
CN103718277A
CN103718277A CN201280037156.6A CN201280037156A CN103718277A CN 103718277 A CN103718277 A CN 103718277A CN 201280037156 A CN201280037156 A CN 201280037156A CN 103718277 A CN103718277 A CN 103718277A
Authority
CN
China
Prior art keywords
gas
etching
fluorine
silicon
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201280037156.6A
Other languages
English (en)
Other versions
CN103718277B (zh
Inventor
菊池亚纪应
梅崎智典
日比野泰雄
毛利勇
冈本觉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Central Glass Co Ltd
Original Assignee
Central Glass Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Central Glass Co Ltd filed Critical Central Glass Co Ltd
Publication of CN103718277A publication Critical patent/CN103718277A/zh
Application granted granted Critical
Publication of CN103718277B publication Critical patent/CN103718277B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Abstract

提供对地球环境的影响小且具有所需要的性能的干蚀刻剂。提供一种干蚀刻剂,其分别以特定的体积%包含(A)式CaFbHc(式中,a、b和c分别表示正整数,并且满足2≤a≤5、c<b≥1、2a+2>b+c、b≤a+c的关系。其中,a=3、b=4、c=2的情况除外。)所示的含氟不饱和烃、(B)选自由O2、O3、CO、CO2、COCl2、COF2、F2、NF3、Cl2、Br2、I2以及YFn(其中,Y表示Cl、Br或I。n表示1~5的整数。)组成的组中的至少一种气体、和(C)选自由N2、He、Ar、Ne、Xe以及Kr组成的组中的至少一种气体。

Description

干蚀刻剂
技术领域
本发明涉及含有含氟不饱和化合物的干蚀刻剂以及使用其的干蚀刻方法。
背景技术
今时今日,在半导体制造中,寻求极其微细的处理技术,干蚀刻法逐渐取代湿式法成为主流。干蚀刻法是在真空空间中产生等离子体而在物质表面上以分子水准形成微细的图案的方法。
在二氧化硅(SiO2)等半导体材料的蚀刻中,为了提高SiO2相对于用作基底材料的硅、多晶硅、氮化硅等的蚀刻速度,而使用CF4、CHF3、C2F6、C3F8、C4F8等全氟碳化物(PFC)类、氢氟碳化物(HFC)类作为蚀刻剂。
然而,这些PFC类、HFC类均为大气寿命长的物质,具有高全球变暖潜值(GWP),因此在京都议定书(COP3)中成为限制排放物质。在半导体产业中,正在寻求经济性高、可微细化的GWP低的替代物质。
因此,专利文献1中,公开了将作为PFC类、HFC类的替代物质的包含具有4~7个碳原子的全氟酮的反应性气体用作清洗气体或蚀刻气体的方法。然而,这些全氟酮的分解物质中包含为数不少的高GWP的PFC或包含沸点较高的物质,因此作为蚀刻气体未必是优选的。
专利文献2中公开了将具有2~6个碳原子的氢氟醚用作干蚀刻气体的方法,但是与专利文献1同样地,对于这些氢氟醚来说,总的来说GWP高、地球环境性方面不优选。
另一方面,正在寻求开发具有更低的GWP且工业制造也容易的化合物,正在研究使用分子内具有双键、三键的不饱和氟碳化物作为蚀刻用途。作为与此相关的现有技术,专利文献3中公开了将被蚀刻基板的温度控制在50℃以下,将饱和或不饱和的高阶链状氟碳化物系化合物用作蚀刻气体的方法,专利文献4中公开了用包含CaF2a+1OCF=CF2的醚类以及CF3CF=CFH、CF3CH=CF2等氟化烯烃类蚀刻Si膜、SiO2膜、Si3N4膜或高熔点金属硅化物膜的方法。
另外,专利文献5中公开了特征在于将六氟-2-丁炔、六氟-1,3-丁二烯以及六氟丙烯等用作蚀刻气体的等离子体蚀刻方法,专利文献6中公开了使用以下混合气体蚀刻包含氮化物层的非氧化物层上的氧化物层的方法,所述混合气体包含(a)选自由六氟丁二烯、八氟戊二烯、五氟丙烯和三氟丙炔组成的组中的不饱和氟碳化物,(b)单氟甲烷或二氟甲烷等氢氟甲烷,(c)非活性的载气。
进而,专利文献7中,作为低k介电性材料(低相对介电常数的介电性材料)的蚀刻方法,公开了使用CF4和C4F6等非含氢的氟碳化物、作为氢氟碳化物气体使用C2HF5、CHF3和C3HF5的例子。
进而,非专利文献1中公开了将六氟丙烯、六氟丁二烯等直链不饱和化合物用于氧化硅系材料层的蚀刻中。
现有技术文献
专利文献
专利文献1:日本特表2004-536448号公报
专利文献2:日本特开平10-140151号公报
专利文献3:日本特开平4-346427号公报
专利文献4:日本特开平10-223614号公报
专利文献5:日本特开平9-191002号公报
专利文献6:日本特表2002-530863号公报
专利文献7:日本特表2007-537602号公报
非专利文献
非专利文献1:J.Appl.phys.Vol.42,5759-5764页,2003年
发明内容
发明要解决的问题
PFC类、HFC类由于GWP高,因此为限制对象物质,作为它们的替代物质的全氟酮类、氢氟醚类和氢氟乙烯基醚类由于分解物质中包含为数不少的高GWP的PFC或制造困难、不经济,因此正在寻求开发对地球环境的影响小且具有所需要的性能的干蚀刻剂。
对于蚀刻性能而言,在等离子体蚀刻的情况下,例如由CF4的气体生成F自由基,对SiO2进行蚀刻时,各向同性地进行蚀刻。在要求微细加工的干蚀刻中,相比于各向同性,优选在各向异性蚀刻方面具有指向性的蚀刻剂,进而,期望地球环境负担小且经济性高的蚀刻剂。
另外,在截止至今的使用蚀刻气体的技术中,需要如专利文献5所述那样的复杂工序或装置、被限定的温度条件或基板、对气体附加振动等操作,存在工艺窗口(process window)狭窄的问题。
本发明的目的在于,通过将气体的分子结构和气体组成优化,来提供工艺窗口广、无需使用特殊的装置即可得到良好的加工形状的干蚀刻剂以及使用其的干蚀刻方法。
用于解决问题的方案
本发明人等重复进行了深入地研究,结果发现通过使用(A)式[1]所示的含氟不饱和烃、(B)选自由O2、O3、CO、CO2、COCl2、COF2、F2、NF3、Cl2、Br2、I2以及YFn(其中,Y表示Cl、Br或I,n表示1~5的整数。)组成的组中的至少一种气体、和(C)选自由N2、He、Ar、Ne、Xe以及Kr组成的组中的至少一种气体作为干蚀刻剂,并且以特定的体积%的范围使用各气体来进行蚀刻,能够得到良好的加工形状,完成了本发明。
CaFbHc[1]
(式[1]中,a、b和c分别表示正整数,并且满足2≤a≤5、c<b≥1、2a+2>b+c、b≤a+c的关系。其中,a=3、b=4、c=2的情况除外。)
即,本发明提供以下[发明1]~[发明7]记载的发明。
[发明1]
一种干蚀刻剂,其包含(A)式[1]所示的含氟不饱和烃;(B)选自由O2、O3、CO、CO2、COCl2、COF2、F2、NF3、Cl2、Br2、I2以及YFn(其中,Y表示Cl、Br或I。n表示1~5的整数。)组成的组中的至少一种气体;和(C)选自由N2、He、Ar、Ne、Xe以及Kr组成的组中的至少一种气体,并且(A)、(B)和(C)的体积%分别为5~40%、5~40%和20~90%(其中,各气体的体积%的总计为100%)。
CaFbHc[1]
(式[1]中,a、b和c分别表示正整数,并且满足2≤a≤5、c<b≥1、2a+2>b+c、b≤a+c的关系。其中,a=3、b=4、c=2的情况除外。)
[发明2]
根据发明1所述的干蚀刻剂,其中,含氟不饱和烃为1,2,3,3,3-五氟丙烯或1,1,3,3,3-五氟丙烯。
[发明3]
根据发明1或2所述的干蚀刻剂,其中,还原性气体还包含选自由H2、CH4、C2H2、C2H4、C2H6、C3H4、C3H6、C3H8、HF、HI、HBr、HCl、CO、NO以及NH3组成的组中的至少一种气体。
[发明4]
根据发明1~3中任一项所述的干蚀刻剂,其还包含选自由CF4、CF3H、CF2H2、CFH3、C2F6、C2F4H2、C2F5H、C3F8、C3F7H、C3F6H2、C3F5H3、C3F4H4、C3F3H5、C3F5H、C3F3H、C3ClF3H、C4F8、C4F6、C5F8以及C5F10组成的组中的至少一种气体。
[发明5]
一种干蚀刻方法,其使用将发明1~4中任一项所述的干蚀刻剂等离子体化而得到的等离子体气体,选择性地蚀刻选自由二氧化硅、氮化硅、多晶硅、非晶硅以及碳化硅组成的组中的至少一种硅系材料。
[发明6]
一种干蚀刻方法,其使用(A)1,2,3,3,3-五氟丙烯、(B)选自由H2、O2、CO以及COF2组成的组中的至少一种以上气体和Ar,使(A)、(B)和Ar的体积流量比分别为5~40%、5~40%和20~90%(其中,各气体的体积流量比的总计为100%),选择性地蚀刻选自由二氧化硅、氮化硅、多晶硅、非晶硅以及碳化硅组成的组中的至少一种硅系材料。
[发明7]
一种干蚀刻方法,其使用(A)1,1,3,3,3-五氟丙烯、(B)选自由H2、O2、CO以及COF2组成的组中的至少一种以上气体和Ar,使(A)、(B)和Ar的体积流量比分别为5~40%、5~40%和20~90%(其中,各气体的体积流量比的总计为100%),选择性地蚀刻选自由二氧化硅、氮化硅、多晶硅、非晶硅以及碳化硅组成的组中的至少一种硅系材料。
如前所述,已知使用1,1,1,2,3-五氟丙烯、六氟-2-丁炔、六氟-1,3-丁二烯和六氟丙烯等作为蚀刻气体。这些含氟不饱和烃从其自身具有许多氟原子、对氧化硅系材料具有高的蚀刻速度方面考虑,作为乍一看优选的方法而被列举。
另外,对于作为本发明的对象化合物的1,2,3,3,3-五氟丙烯和1,1,3,3,3-五氟丙烯而言,虽然专利文献3和专利文献4中进行了公开,但是实际上即使考虑使用这些含氟不饱和烃进行蚀刻的实施例,本发明的对象化合物究竟具有何种程度的选择比或者对于各种材料是否具有可以在工业上采用程度的蚀刻速度还完全不清楚。
然而,本发明人等发现,通过使含氟不饱和烃与添加气体(O2、F2等)以及非活性气体(He、Ar等)共存并且使用特定量的添加气体进行蚀刻,对硅系材料层的选择性高且能够以高的蚀刻速度有效地进行蚀刻。
发明的效果
本发明的干蚀刻剂由于分子内具有1个不饱和双键,因此在大气中通过OH自由基等分解的分解性高,在推动温室效应方面,也明显比CF4、CF3H等PFC类或HFC类低,在作为干蚀刻剂的情况下,起到对环境的负担小的效果。
进一步,通过在这些蚀刻剂中混合含氧气体、含卤素气体等氧化性气体、还原性气体,可以飞跃性地拓宽工艺窗口,还可应对要求侧蚀刻率小、高深宽比(high aspect ratio)的加工而无需特殊的基板的激发操作等。
如此,本发明中使用的蚀刻剂在工业方面、地球环境方面均非常具有优势性。
附图说明
图1为本发明中使用的实验装置的示意图。
图2为表示通过蚀刻处理得到的、硅晶圆上的开口部的图。
具体实施方式
以下对本发明的干蚀刻剂进行详细说明。需要说明的是,本发明的范围不被这些说明所限制,对于以下的例示以外,可以在不脱离本发明的主旨的范围内进行适当变更来实施。另外,本说明书中引用的全部出版物,例如现有技术文献、以及公开公报、专利公报、其它专利文献也作为参照引入本说明书中。
本发明中使用的干蚀刻剂包含前述(A)的式[1]表示的含氟不饱和烃、和前述(B)以及(C)的各种气体(详细说明如后所述)。
作为式[1]表示的含氟不饱和烃的具体例,只要式[1]中的a、b、c满足规定的条件则没有特别限制,可列举出1,2,2-三氟-1-乙烯(C2F3H)、1,1,3,3,3-五氟丙烯(C3F5H)、1,2,3,3,3-五氟丙烯(C3F5H)、1,1,2,3,3-五氟丙烯(C3F5H)、1,1,1,3,4,4,4-七氟-2-丁烯(C4F7H)、1,1,3,3,4,4,4-七氟-1-丁烯(C4F7H)、1,2,3,3,4,4,4-七氟-1-丁烯(C4F7H)、1,1,1,2,4,4,4-七氟-2-丁烯(C4F7H)、1,1,1,4,4,5,5,5-八氟-2-戊烯(C5F9H)、1,1,3,3,4,4,5,5,5-九氟-1-戊烯(C5F9H)、1,1,1,3,4,4,5,5,5-九氟-2-戊烯(C5F9H)、1,2,3,3,4,4,5,5,5-九氟-1-戊烯(C5F9H)、1,1,1,2,4,4,5,5,5-九氟-2-戊烯(C5F9H)等。
需要说明的是,上述含氟不饱和烃中,碳原子数为3以上的化合物有时存在立体异构体、即反式体(E体)和顺式体(Z体)。本发明中可以使用任一种异构体或者两者的混合物。
上述含氟不饱和烃中,任一种化合物均可以优选用于本发明,但从化合物的制备以及获得容易程度等考虑,优选使用碳原子数较小的化合物,即式[1]中a=2~4、b=3~7、c=1的化合物,具体而言,优选使用1,2,2-三氟-1-乙烯(C2F3H)、1,1,3,3,3-五氟丙烯(C3F5H)、1,2,3,3,3-五氟丙烯(C3F5H)、1,1,2,3,3-五氟丙烯(C3F5H)、1,1,1,3,4,4,4-七氟-2-丁烯(C4F7H)、1,1,3,3,4,4,4-七氟-1-丁烯(C4F7H)、1,2,3,3,4,4,4-七氟-1-丁烯(C4F7H)、1,1,1,2,4,4,4-七氟-2-丁烯(C4F7H)。进一步,特别优选使用如本申请实施例中所列举出的式[1]中、a=3、b=5、c=1的化合物,即1,1,3,3,3-五氟丙烯(C3F5H)、1,2,3,3,3-五氟丙烯(C3F5H)。
需要说明的是,上述含氟不饱和烃可以使用以往公知的方法来制造。例如,对于1,1,3,3,3-五氟丙烯和1,2,3,3,3-五氟丙烯而言,可以通过日本特开2006-193437号公报和日本特开2009-091301号公报中记载的方法来制备。
本发明中使用的含氟不饱和烃具有下述特征:分子中具有双键,该双键通过单键与三氟甲基(CF3基)相连,由此高频率地产生蚀刻效率高的CF3 +离子,另一方面双键部分进行高分子化而沉积。
为了使蚀刻剂中的碳原子通过进行高分子化而防止非选择性蚀刻被蚀刻材料的侧壁,优选蚀刻剂中的F/C比(氟原子与碳原子的存在比)尽可能接近1。
对于本发明中使用的含氟不饱和烃而言,由于分子中的F/C比小、为1.5~1.8,被蚀刻材料的侧壁容易通过高分子的沉积而被保护,因此认为相对于F自由基实现的各向同性地蚀刻,各向异性蚀刻的选择性升高。
本发明的蚀刻方法能够在各种干蚀刻条件下实施,可根据对象膜的物性、生产率、微细精度等添加各种添加剂。
接着,对与式[1]所示的含氟不饱和烃一起使用的各种气体的种类进行说明。
本发明中使用的蚀刻剂是分别以优选的体积%的范围混合(A)含氟不饱和烃、(B)选自由O2、O3、CO、CO2、COCl2、COF2、F2、NF3、Cl2、Br2、I2以及YFn(其中,Y表示Cl、Br或I。n表示1~5的整数。)组成的组中的至少一种气体(本说明书中有时将该气体组称为“氧化性气体”、“含氧气体”、“含卤素气体”。)和(C)选自由N2、He、Ar、Ne、Xe以及Kr组成的组中的至少一种气体(本说明书中有时将该气体组称为“非活性气体”)而成的。
对于上述(B)的气体而言,以生产率和蚀刻速度的提高为目的,尤其是从可以进一步加速金属的蚀刻速度方面考虑,优选为O2、CO和COF2,特别优选为O2。添加氧气时可选择性地加速金属的蚀刻速率。即,可以显著提高金属相对于氧化物的蚀刻速度的选择比,能够进行金属的选择蚀刻。
这些氧化性气体的添加量取决于输出等的装置的形状、性能或对象膜特性,通常为含氟不饱和烃流量的1/10倍~30倍,优选为1/10倍~20倍。以超过30倍的量添加时,有时会有损含氟不饱和烃的优异的各向异性蚀刻性能,以少于1/10的量添加时,有时含氟不饱和烃进行高分子化而成的沉积物显著增加。
对于上述(C)的气体而言,还可以用作稀释剂,特别是Ar的情况下,通过与式[1]表示的含氟不饱和烃的协同效应而能得到更高的蚀刻速率。
非活性气体的添加量取决于输出、排气量等的装置的形状、性能或对象膜特性,优选为式[1]表示的含氟不饱和烃流量的1~50倍。
需要说明的是,对于本发明中的各种气体而言,可以混合、添加上述(B)以及(C)的气体中的一种或两种以上。
如此,本发明中使用的干蚀刻剂包含式[1]表示的含氟不饱和烃、O2等氧化性气体以及Ar等非活性气体,以下表示该蚀刻剂中的优选组成和体积%。需要说明的是,各气体的体积%的总计为100%。
例如,含氟不饱和烃、氧化性气体以及非活性气体共存情况下的体积%例如优选为该不饱和烃:氧化性气体:非活性气体=1~45%:1~50%:5~98%,进一步特别优选为4~40%:4~45%:15~92%。
例如,如后述的实施例所示,包含顺式-1,2,3,3,3-五氟丙烯或1,1,3,3,3-五氟丙烯、氧化性气体和氩气,它们的体积%分别为5~40%、5~40%和20~90%的干蚀刻剂,由于对于各膜种类能够以高深宽比和低侧蚀刻率来进行蚀刻,因此作为特别优选方式之一而被列举出。
需要说明的是,氧化性气体或者非活性气体分别混合两种以上时,调整各自的体积比以满足前述比率即可。
另外,CF4、CF3H、CF2H2、CFH3、C2F6、C2F4H2、C2F5H、C3F8、C3F7H、C3F6H2、C3F5H3、C3F4H4、C3F3H5、C3F5H、C3F3H、C3ClF3H、C4F8、C4F6、C5F8、C5F10等气体可以改变蚀刻气体的F/C比。这些化合物的添加量优选为不会阻碍选择性蚀刻地改变F/C比的量,期望相对于含氟不饱和烃为0.01~2倍体积。
另外,在希望降低用于促进各向同性地蚀刻的F自由基的量时,添加例如CH4、C2H2、C2H4、C2H6、C3H4、C3H6、C3H8、HF、HI、HBr、HCl、NO、NH3、H2的还原性气体是有效的。
还原性气体的添加量过多时,有时发挥蚀刻作用的F自由基量显著降低、生产率降低。特别是添加H2和C2H2时,SiO2的蚀刻速度不变但Si的蚀刻速度降低,选择性变高,因此相对于基底硅,可选择性地蚀刻SiO2
接着,对使用了本发明的干蚀刻剂的蚀刻方法进行说明。
本发明的干蚀刻剂可适用于在硅晶圆、金属板、玻璃、单晶、多晶等基板上层叠的B、P、W、Si、Ti、V、Nb、Ta、Se、Te、Mo、Re、Os、Ru、Ir、Sb、Ge、Au、Ag、As、Cr及其化合物的蚀刻等各种被加工物,所述化合物具体而言为氧化物、氮化物、碳化物、氟化物、氧氟化物、硅化物及它们的合金。
特别是,可有效适用于半导体材料。作为半导体材料,可列举出例如硅、二氧化硅、氮化硅、碳化硅、氧化氟化硅和碳化氧化硅等硅系材料,钨、铼以及它们的硅化物、钛和氮化钛、钌、硅化钌和氮化钌、钽、氧化钽和氟氧化钽(tantalum oxyfluoride)、以及铪、氧化铪、硅氧化铪(hafnium oxysilicide)和铪锆氧化物(hafmium zirconium oxide)等。
另外,使用了本发明的干蚀刻剂的蚀刻方法,可以不限定于反应性离子蚀刻(RIE)、电子回旋共振(ECR)等离子体蚀刻和微波蚀刻等各种蚀刻方法以及反应条件来进行。本发明中使用的蚀刻方法如下进行:在蚀刻处理装置内产生作为对象的丙烯类的等离子体,对处于装置内的对象的被加工物的规定部位进行蚀刻。例如在半导体的制造中,在硅晶圆上形成硅系氧化物膜或氮化硅膜等、在上部涂布设置有特定开口部的抗蚀剂,按照去除硅系氧化物或氮化硅膜的方式蚀刻抗蚀剂开口部。
对于进行蚀刻时的等离子体发生装置没有特别限定,例如优选使用高频感应方式以及微波方式的装置等。
对于进行蚀刻时的压力,为了有效地进行各向异性蚀刻,优选在气体压力为0.133~133Pa的压力下进行。低于0.133Pa的压力时,有时蚀刻速度变慢,另一方面,超过133Pa的压力时,有时会有损抗蚀剂选择比。
对于进行蚀刻时的含氟不饱和烃、氧化性气体以及非活性气体各自的体积流量比率,可用与前述体积%相同的比率进行蚀刻。
另外,所使用的气体流量根据蚀刻装置的尺寸而异,本领域技术人员可以根据该装置进行适当调整。
另外,进行蚀刻时的温度优选为300℃以下,特别是为了进行各向异性蚀刻,希望设定为240℃以下。超过300℃的高温时,各向同性地进行蚀刻的倾向趋强,有时无法得到所需要的加工精度,另外,有时抗蚀剂被显著地蚀刻。
对进行蚀刻处理的反应时间没有特别限定,优选大概为5分钟~30分钟左右。然而,由于该反应时间取决于蚀刻处理后的过程,因此本领域技术人员可边观察蚀刻的状况边做适当调整。
需要说明的是,通过与前述还原性气体等混合而使用或将压力、流量、温度等最优化,在例如加工接触孔时,可提高硅和硅氧化膜的蚀刻速度的选择性。
实施例
以下,通过实施例对本发明进行详细说明,但本发明不受这些实施例的限定。
将本发明的干蚀刻剂适用于接触孔加工中,蚀刻层间绝缘膜(SiO2)或者氮化硅膜的例子以下表示为实施例1~实施例12。另外,作为比较例,将分别使用作为全氟碳化物的CF4、F2以及同时为二烯烃的C4F6(CF2=CF-CF=CF2)的例子表示为比较例1~比较例12。
另外,本实施例中使用的实验装置的示意图如图1所示。
从与腔室1内的上部电极5连接的气体导入口6导入工艺气体后,将腔室1内压力设定为1Pa,利用高频电源3(13.56MHz、0.22W/cm2)激发工艺气体生成活性种,将该活性种供给至设置在下部电极4上的试样8,从而进行蚀刻。
作为试样8,使用在单晶硅晶圆上形成5μm的SiO2膜或氮化硅膜,在膜上涂布设置有线宽0.3μm的开口部的抗蚀剂而成的试样。对于试样8,利用C4F6、CF4、F2、顺式-1,2,3,3,3-五氟丙烯(以下简称为1225ye(Z))或者1,1,3,3,3-五氟丙烯(以下简称为1225zc)与氧气、氢气或者氩气的混合气体以后述表1记载的气体流量,在工艺压力1Pa下进行30分钟蚀刻。蚀刻处理后,对硅晶圆截面进行SEM(扫描电子显微镜)观察,对蚀刻速度、深宽比以及侧蚀刻(侧壁的切削量)与开口部线宽的比率进行比较。如图2所示,侧蚀刻率R(%)以R=(a/b)×100表示。
蚀刻试验结果如表1所示。
[表1]
Figure BDA0000462302920000121
由实施例1、实施例2、实施例3、实施例7、实施例8以及实施例9的结果可知,本发明中的干蚀刻剂与比较例1、比较例2、比较例5、比较例6、比较例9以及比较例11所示的CF4、C4F6、F2相比,对于SiO2的深宽比高且侧蚀刻率低,能得到良好的接触孔加工形状。
由实施例4、实施例5、实施例6、实施例10、实施例11、实施例12的结果可知,本发明中的干蚀刻剂与比较例3、比较例4、比较例7、比较例8、比较例10以及比较例12所示的CF4、C4F6、F2相比,对于氮化硅的深宽比高且侧蚀刻率低,能得到良好的接触孔加工形状。
对具有0.1μm开口宽度的试样实施与上述试验相同条件下的蚀刻试验,结果能得到同样的结果。
由实施例1~实施例12的结果可知,本发明中的干蚀刻剂与比较例1~比较例12所示的公知的CF4、C4F6相比,深宽比高、侧蚀刻率小、能得到良好的接触孔加工形状。
产业上的可利用性
包含本发明中的对象五氟丙烯的剂可以用作干蚀刻剂。另外,使用该干蚀刻剂的蚀刻方法也可以用作半导体的制造方法。
附图标记说明
[图1的说明]
1.腔室
2.压力计
3.高频电源
4.下部电极
5.上部电极
6.气体导入口
7.排气管线
8.试样
[图2的说明]
a.侧壁的切削量
b.开口部线宽

Claims (7)

1.一种干蚀刻剂,其包含(A)式[1]所示的含氟不饱和烃;(B)选自由O2、O3、CO、CO2、COCl2、COF2、F2、NF3、Cl2、Br2、I2以及YFn组成的组中的至少一种气体,其中Y表示Cl、Br或I,n表示1~5的整数;和(C)选自由N2、He、Ar、Ne、Xe以及Kr组成的组中的至少一种气体,并且(A)、(B)和(C)的体积%分别为5~40%、5~40%和20~90%,其中,各气体的体积%的总计为100%,
CaFbHc[1]
式[1]中,a、b和c分别表示正整数,并且满足2≤a≤5、c<b≥1、2a+2>b+c、b≤a+c的关系,其中,a=3、b=4、c=2的情况除外。
2.根据权利要求1所述的干蚀刻剂,其中,含氟不饱和烃为1,2,3,3,3-五氟丙烯或1,1,3,3,3-五氟丙烯。
3.根据权利要求1或2所述的干蚀刻剂,其中,还原性气体还包含选自由H2、CH4、C2H2、C2H4、C2H6、C3H4、C3H6、C3H8、HF、HI、HBr、HCl、CO、NO以及NH3组成的组中的至少一种气体。
4.根据权利要求1~3中任一项所述的干蚀刻剂,其还包含选自由CF4、CF3H、CF2H2、CFH3、C2F6、C2F4H2、C2F5H、C3F8、C3F7H、C3F6H2、C3F5H3、C3F4H4、C3F3H5、C3F5H、C3F3H、C3ClF3H、C4F8、C4F6、C5F8以及C5F10组成的组中的至少一种气体。
5.一种干蚀刻方法,其使用将权利要求1~4中任一项所述的干蚀刻剂等离子体化而得到的等离子体气体,选择性地蚀刻选自由二氧化硅、氮化硅、多晶硅、非晶硅以及碳化硅组成的组中的至少一种硅系材料。
6.一种干蚀刻方法,其使用(A)1,2,3,3,3-五氟丙烯、(B)选自由H2、O2、CO以及COF2组成的组中的至少一种以上气体和Ar,使(A)、(B)和Ar的体积流量比分别为5~40%、5~40%和20~90%,选择性地蚀刻选自由二氧化硅、氮化硅、多晶硅、非晶硅以及碳化硅组成的组中的至少一种硅系材料,其中,各气体的体积流量比的总计为100%。
7.一种干蚀刻方法,其使用(A)1,1,3,3,3-五氟丙烯、(B)选自由H2、O2、CO以及COF2组成的组中的至少一种以上气体和Ar,使(A)、(B)和Ar的体积流量比分别为5~40%、5~40%和20~90%,选择性地蚀刻选自由二氧化硅、氮化硅、多晶硅、非晶硅以及碳化硅组成的组中的至少一种硅系材料,其中,各气体的体积流量比的总计为100%。
CN201280037156.6A 2011-07-27 2012-06-13 干蚀刻剂 Active CN103718277B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011-164008 2011-07-27
JP2011164008A JP2013030531A (ja) 2011-07-27 2011-07-27 ドライエッチング剤
PCT/JP2012/065074 WO2013015033A1 (ja) 2011-07-27 2012-06-13 ドライエッチング剤

Publications (2)

Publication Number Publication Date
CN103718277A true CN103718277A (zh) 2014-04-09
CN103718277B CN103718277B (zh) 2016-08-31

Family

ID=47600892

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280037156.6A Active CN103718277B (zh) 2011-07-27 2012-06-13 干蚀刻剂

Country Status (7)

Country Link
US (1) US20140302683A1 (zh)
EP (1) EP2733725A4 (zh)
JP (1) JP2013030531A (zh)
KR (1) KR20140051332A (zh)
CN (1) CN103718277B (zh)
TW (1) TWI491711B (zh)
WO (1) WO2013015033A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104261345A (zh) * 2014-09-04 2015-01-07 北方广微科技有限公司 干法刻蚀微电机系统牺牲层的方法
CN106663624A (zh) * 2014-06-18 2017-05-10 乔治洛德方法研究和开发液化空气有限公司 用于tsv/mems/功率器件蚀刻的化学物质
CN107533969A (zh) * 2015-04-06 2018-01-02 中央硝子株式会社 干法蚀刻气体以及干法蚀刻方法
CN107924837A (zh) * 2015-08-12 2018-04-17 中央硝子株式会社 干式蚀刻方法
CN112673459A (zh) * 2018-09-11 2021-04-16 铠侠股份有限公司 半导体装置的制造方法和蚀刻气体

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114752386A (zh) * 2013-03-28 2022-07-15 得凯莫斯公司弗罗里达有限公司 氢氟烯烃蚀刻气体混合物
US10109496B2 (en) * 2013-12-30 2018-10-23 The Chemours Company Fc, Llc Chamber cleaning and semiconductor etching gases
JP2016051777A (ja) * 2014-08-29 2016-04-11 日本ゼオン株式会社 シリコン酸化膜のプラズマエッチング方法
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
EP3038169A1 (en) * 2014-12-22 2016-06-29 Solvay SA Process for the manufacture of solar cells
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
JP6544215B2 (ja) 2015-01-23 2019-07-17 セントラル硝子株式会社 ドライエッチング方法
US9640385B2 (en) 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
JP2016178222A (ja) 2015-03-20 2016-10-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2016178223A (ja) 2015-03-20 2016-10-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP6748354B2 (ja) 2015-09-18 2020-09-02 セントラル硝子株式会社 ドライエッチング方法及びドライエッチング剤
JP6385915B2 (ja) * 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
JP6604911B2 (ja) * 2016-06-23 2019-11-13 東京エレクトロン株式会社 エッチング処理方法
KR102550414B1 (ko) * 2016-11-03 2023-07-04 삼성전자주식회사 반도체 소자의 제조 방법
US10504720B2 (en) 2016-11-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Etching using chamber with top plate formed of non-oxygen containing material
JP7036799B2 (ja) 2017-04-06 2022-03-15 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
US10957712B2 (en) 2017-08-02 2021-03-23 Sharp Kabushiki Kaisha Substrate and method for producing substrate
US11646207B2 (en) * 2017-11-30 2023-05-09 Lam Research Corporation Silicon oxide silicon nitride stack stair step etch
KR101975293B1 (ko) 2018-10-05 2019-05-07 유지씨 주식회사 고소작업대용 안전 기구 및 그 안전 기구가 설치된 고소작업대
EP3987553B8 (en) 2019-06-21 2023-12-06 Hitachi Energy Ltd Dielectric-insulation or arc-extinction fluid
KR102461689B1 (ko) * 2020-05-04 2022-10-31 아주대학교산학협력단 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법
KR102244862B1 (ko) * 2020-08-04 2021-04-27 (주)원익머트리얼즈 식각 가스 혼합물과 이를 이용한 패턴 형성 방법
KR102582730B1 (ko) 2021-04-07 2023-09-25 (주)후성 플루오르화 시클로프로판 가스의 제조방법 및 이를 포함하는 에칭용 가스 조성물
KR102399789B1 (ko) 2021-06-21 2022-05-20 아성글로벌(주) 고소작업을 위한 추락방지 안전대 체결링
CN114573417B (zh) * 2021-12-23 2023-12-12 西安近代化学研究所 一种四氟甲烷及四氟甲烷混合气体的制备方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100365777C (zh) * 2002-06-14 2008-01-30 蓝姆研究公司 具有改进型抗蚀剂及/或蚀刻轮廓特征的介电膜用蚀刻方法
JP2008300616A (ja) * 2007-05-31 2008-12-11 Nippon Zeon Co Ltd エッチング方法
JP2009206394A (ja) * 2008-02-29 2009-09-10 Nippon Zeon Co Ltd 炭素系ハードマスクの形成方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63299343A (ja) * 1987-05-29 1988-12-06 Matsushita Electric Ind Co Ltd エッチング方法
JP3198538B2 (ja) * 1991-05-24 2001-08-13 ソニー株式会社 ドライエッチング方法
JPH09191002A (ja) 1996-01-10 1997-07-22 Sony Corp プラズマエッチング方法
JP2972786B2 (ja) 1996-11-05 1999-11-08 工業技術院長 ドライエッチング用ガス
JPH10223614A (ja) 1997-02-12 1998-08-21 Daikin Ind Ltd エッチングガスおよびクリーニングガス
US6602434B1 (en) * 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6540930B2 (en) 2001-04-24 2003-04-01 3M Innovative Properties Company Use of perfluoroketones as vapor reactor cleaning, etching, and doping gases
JP2007537602A (ja) 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
JP2006193437A (ja) 2005-01-11 2006-07-27 Central Glass Co Ltd 1,1,3,3,3−ペンタフルオロプロペンの製造方法
TWI438841B (zh) * 2007-09-28 2014-05-21 Zeon Corp 電漿蝕刻方法
JP2009091301A (ja) 2007-10-10 2009-04-30 Central Glass Co Ltd シス−1,2,3,3,3−ペンタフルオロプロペンの製造方法
JP4978512B2 (ja) * 2008-02-29 2012-07-18 日本ゼオン株式会社 プラズマエッチング方法
EP2549526A1 (en) * 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using fluorosubstituted compounds

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100365777C (zh) * 2002-06-14 2008-01-30 蓝姆研究公司 具有改进型抗蚀剂及/或蚀刻轮廓特征的介电膜用蚀刻方法
JP2008300616A (ja) * 2007-05-31 2008-12-11 Nippon Zeon Co Ltd エッチング方法
JP2009206394A (ja) * 2008-02-29 2009-09-10 Nippon Zeon Co Ltd 炭素系ハードマスクの形成方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106663624A (zh) * 2014-06-18 2017-05-10 乔治洛德方法研究和开发液化空气有限公司 用于tsv/mems/功率器件蚀刻的化学物质
CN106663624B (zh) * 2014-06-18 2020-08-14 乔治洛德方法研究和开发液化空气有限公司 用于tsv/mems/功率器件蚀刻的化学物质
CN111816559A (zh) * 2014-06-18 2020-10-23 乔治洛德方法研究和开发液化空气有限公司 用于tsv/mems/功率器件蚀刻的化学物质
CN104261345A (zh) * 2014-09-04 2015-01-07 北方广微科技有限公司 干法刻蚀微电机系统牺牲层的方法
CN104261345B (zh) * 2014-09-04 2016-06-29 北方广微科技有限公司 干法刻蚀微电机系统牺牲层的方法
CN107533969A (zh) * 2015-04-06 2018-01-02 中央硝子株式会社 干法蚀刻气体以及干法蚀刻方法
CN107533969B (zh) * 2015-04-06 2021-01-29 中央硝子株式会社 干法蚀刻气体以及干法蚀刻方法
CN107924837A (zh) * 2015-08-12 2018-04-17 中央硝子株式会社 干式蚀刻方法
CN107924837B (zh) * 2015-08-12 2022-02-01 中央硝子株式会社 干式蚀刻方法
CN112673459A (zh) * 2018-09-11 2021-04-16 铠侠股份有限公司 半导体装置的制造方法和蚀刻气体

Also Published As

Publication number Publication date
EP2733725A1 (en) 2014-05-21
TW201313878A (zh) 2013-04-01
CN103718277B (zh) 2016-08-31
WO2013015033A1 (ja) 2013-01-31
US20140302683A1 (en) 2014-10-09
KR20140051332A (ko) 2014-04-30
EP2733725A4 (en) 2015-05-27
TWI491711B (zh) 2015-07-11
JP2013030531A (ja) 2013-02-07

Similar Documents

Publication Publication Date Title
CN103718277B (zh) 干蚀刻剂
CN103003925B (zh) 干蚀刻剂以及干蚀刻方法
CN102741987B (zh) 干蚀刻剂以及使用其的干蚀刻方法
JP6327295B2 (ja) ドライエッチング方法
KR102547222B1 (ko) 드라이 에칭 방법
KR102303686B1 (ko) 드라이 에칭제, 드라이 에칭 방법 및 반도체 장치의 제조방법
JP5958600B2 (ja) ドライエッチング方法
JP2011176292A (ja) ドライエッチング剤
JP2021022642A (ja) SiC基板の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant