KR20230019981A - 반도체 구조를 에칭하기 위한 요오드-함유 플루오로카본 및 히드로플루오로카본 화합물 - Google Patents

반도체 구조를 에칭하기 위한 요오드-함유 플루오로카본 및 히드로플루오로카본 화합물 Download PDF

Info

Publication number
KR20230019981A
KR20230019981A KR1020237001313A KR20237001313A KR20230019981A KR 20230019981 A KR20230019981 A KR 20230019981A KR 1020237001313 A KR1020237001313 A KR 1020237001313A KR 20237001313 A KR20237001313 A KR 20237001313A KR 20230019981 A KR20230019981 A KR 20230019981A
Authority
KR
South Korea
Prior art keywords
layer
etching
iodine
silicon
sio
Prior art date
Application number
KR1020237001313A
Other languages
English (en)
Inventor
패브리지오 마체지아니
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20230019981A publication Critical patent/KR20230019981A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

규소-함유 필름을 에칭하여 패턴화된 구조를 형성하는 방법, 패턴화된 구조를 형성하면서 패턴화된 마스크 층을 보강하고/하거나 강화하고/하거나 그의 손상을 최소화하는 방법 및 패턴화된 구조를 형성하는 공정에서 패턴화된 마스크 층의 내에칭성을 증가시키는 방법이 개시된다. 이 방법은 화학식 CnHxFyIz (여기서, 4 ≤ n ≤ 10, 0 ≤ x ≤ 21, 0 ≤ y ≤ 21, 및 1 ≤ z ≤ 4임)을 갖는 활성화된 요오드-함유 에칭 화합물을 에칭 가스로 사용하는 것을 포함한다. 활성화된 요오드-함유 에칭 화합물은 요오드 이온을 생성하고, 이는 패턴화된 하드마스크 층에 주입되어, 패턴화된 마스크 층을 강화한다.

Description

반도체 구조를 에칭하기 위한 요오드-함유 플루오로카본 및 히드로플루오로카본 화합물
관련 출원의 상호 참조
본 출원은 2020년 6월 26일자로 출원된 미국 출원 제16/913,696호의 이익을 주장하며, 이는 모든 목적을 위해 그 전체가 본원에 참고로 포함된다.
기술분야
규소-함유 필름을 에칭하여 패턴화된 구조를 형성하는 방법, 패턴화된 구조를 형성하면서 패턴화된 마스크 층을 보강하고/하거나 강화하고/하거나 그의 손상을 최소화하는 방법 및 패턴화된 구조를 형성하는 공정에서 요오드-함유 에칭 화합물을 사용하여 패턴화된 마스크 층의 내에칭성을 증가시키는 방법이 개시된다. 요오드-함유 에칭 화합물은 화학식 CnHxFyIz를 가지며, 여기서, 4 ≤ n ≤ 10, 0 ≤ x ≤ 21, 0 ≤ y ≤ 21, 및 1 ≤ z ≤ 4이다.
반도체 산업에서, 3D NAND의 경우, 다층 SiO/SiN 또는 SiO/폴리-실리콘(p-Si) 층의 스택의 에칭이 중요하다. 예를 들어, Samsung Electronics Co., Ltd.의 US 2011/0180941호를 참조한다. 마스크와 에칭되는 층 사이에서 높은 선택성을 갖는 에칭제가 필수적이다. 또한, 에칭된 구조는 보우잉(bowing) 및 낮은 라인 에칭 거칠기(line etch roughness; LER) 없이 직선 수직 프로파일을 가져야 한다.
전통적인 에칭 가스는 옥타플루오로사이클로부탄(cC4F8), 헥사플루오로-1,3-부타디엔(C4F6), CF4, CH2F2, CH3F, 및/또는 CHF3을 포함한다. C:F의 비가 증가함에 따라(즉, C4F6 > C4F8 > CF4) 선택성 및 중합체 증착 속도가 증가한다는 것이 잘 알려져 있다. 예를 들어, Hung 등의 US6387287호를 참조한다. 또한, 다른 가스, 예를 들어, Ar, Kr, 또는 Xe와 같은 불활성 가스가 플라즈마에 첨가되며, 여기에서, 이러한 것은 이온화되고 웨이퍼 표면 쪽으로 가속화되어 표면에 충돌하고 에칭 공정을 지지한다. 이러한 것이 불활성 가스이기 때문에, 이러한 것은 에칭 공정의 화학 반응에 직접적으로 참여하지 않는다.
그러나, 전통적인 에칭 화학물질은 적어도, 플라즈마 에칭 공정 동안 측벽 상의 불충분한 내에칭성 중합체 증착으로 인하여, 더 새로운 응용(예를 들어, 3D NAND)에서 필수적인, 20:1보다 더 큰 종횡비를 갖는, 홀 또는 트렌치와 같은, 피쳐(feature)를 제공하지 못할 수 있다. 측벽 -CxFy- 중합체(여기서, x는 0.01 내지 1의 범위이며, y는 0.01 내지 4의 범위임)는 에칭되기 쉬울 수 있다. 결과적으로, 에칭된 패턴은 수직이 아닐 수 있으며, 에칭 구조는 보우잉, 치수 변화, 패턴 붕괴 및/또는 증가된 거칠기를 나타낼 수 있다.
보우잉은 마스크 층의 측벽 에칭으로부터 생성될 수 있으며, 이는 보통 비정질 탄소(a-C) 재료일 수 있다. a-C 재료는 마스크의 증가된 개구를 야기하고 보우-유사, 또는 각을 이룬/구부러진, 에칭 구조를 초래할 수 있는 플라즈마에서 산소 라디칼에 의해 에칭될 수 있다.
요오드-함유 화합물은 에칭 가스로서 및/또는 지구 온난화 지수(GWP)의 감소에 사용되어 왔다. 예를 들어, Gupta 등 (US 10,607,850호)은 요오드-함유 에칭 화합물이 화학식 CaHxFyIz(여기서, a=1 내지 3, x=0 내지 6, y=1 내지 7, z=1 내지 2이고, a=1일 때 x+y+z=4이고, a=2일 때 x+y+z=4 또는 6이고, a=3일 때 x+y+z=6 또는 8임)를 가짐을 개시한다. Chung(미국 특허 제9,460,935호)은 특히 1,1,2,2-테트라플루오로-1-요오도-에탄을 사용하여 생성된 플라즈마 하에서 제1 및 제2 에칭 층을 에칭하는 것을 개시한다. 문헌[Karecki et al., "Plasma etching of dielectric films with novel iodofluorocarbon chemistries: iodotrifluoroethylene and 1-iodoheptafluoropropane", J. Vac. Sci. Technol. A 16, 755 (1998)]; JP2006/108484호; 및 TWI343601호를 또한 참조한다. 요오드-함유 에칭 화합물은 퍼플루오로카본 화합물에 비해 지구 온난화 배출량의 현저한 감소를 나타내었다 (문헌[S. Karecki, L. Pruette, and R. Reif, J. Electrochem. Soc. 145, 4305 (1998)]을 참조한다).
보우잉을 최소화하고 현재의 응용(예컨대, 콘택트 에칭 또는 3D NAND)을 위해 요구되는 고종횡비(즉, 최대 200:1)를 달성하는 것이 중요하다. 추가적으로, 오늘날 에칭은 포토레지스트 마스크에 대한 선택성으로 제한되지 않는다. 동일하게, a-C, SiN, p-Si, SiC 또는 다른 형태의 SiaCbOcHdNe 재료(여기서, a는 0 초과이며; b, c, d 및 e는 0 이상임)와 같은 다른 재료들 사이에서 높은 선택성을 갖는 것이 중요하다.
이에 따라, 광범위한 공정 조건에 대한 선택성 및 고종횡비를 유지하는 플라즈마 에칭 응용에서 사용하는 데 적합한 에칭 가스 조성물이 여전히 요구된다.
패턴화된 구조를 형성하는 방법이 개시되며, 이 방법은
기판 상에 배치된 규소-함유 필름 및 규소-함유 층 상에 배치된 패턴화된 마스크 층을 갖는 기판을 수용하는 반응 챔버 내로 화학식 CnHxFyIz(여기서, 4 ≤ n ≤ 10, 0 ≤ x ≤ 21, 0 ≤ y ≤ 21, 및 1 ≤ z ≤ 4임)를 갖는 요오드-함유 에칭 화합물의 증기를 도입하는 단계;
플라즈마를 활성화시켜 활성화된 요오드-함유 에칭 화합물을 생성하는 단계; 및
활성화된 요오드-함유 에칭 화합물과 규소-함유 필름 사이에서 에칭 반응을 진행시켜 패턴화된 마스크 층으로부터 규소-함유 필름을 선택적으로 에칭하여, 패턴화된 구조를 형성하는 단계를 포함한다. 개시된 방법은 하기 양태들 중 하나 이상을 포함할 수 있다:
Figure pct00001
반응 챔버 내로 산화제를 도입하는 단계를 추가로 포함함;
Figure pct00002
산화제는 O2, O3, CO, CO2, NO, N2O, NO2, H2O, H2O2, COS, SO2 및 이들의 조합으로부터 선택됨;
Figure pct00003
산화제는 O2임;
Figure pct00004
반응 챔버 내로 불활성 가스를 도입하는 단계를 추가로 포함함;
Figure pct00005
불활성 가스는 He, Ar, Xe, Kr, Ne 및 N2로 이루어진 군으로부터 선택됨;
Figure pct00006
불활성 가스는 Ar임;
Figure pct00007
불활성 가스는 Xe임;
Figure pct00008
불활성 가스는 Kr임;
Figure pct00009
요오드-함유 에칭 화합물은 히드로플루오로카본 화합물임;
Figure pct00010
요오드-함유 에칭 화합물은 유기불소 화합물임;
Figure pct00011
요오드-함유 에칭 화합물은 불소-함유 탄화수소 화합물임;
Figure pct00012
요오드-함유 에칭 화합물은 플루오로카본 화합물임;
Figure pct00013
요오드-함유 에칭 화합물은 탄화수소 화합물임;
Figure pct00014
요오드-함유 에칭 화합물은 수소를 함유하지 않음;
Figure pct00015
요오드-함유 에칭 화합물은 수소를 함유함;
Figure pct00016
요오드-함유 에칭 화합물은 불소를 함유함;
Figure pct00017
요오드-함유 에칭 화합물은 불소를 함유하지 않음;
Figure pct00018
요오드-함유 에칭 화합물은 C4F9I, C5F11I, C6F13I, C7F15I, C8F17I, C9F19I, C10F21I 또는 이들의 이성질체임;
Figure pct00019
요오드-함유 에칭 화합물은 C4HF8I, C5HF10I, C6HF12I, C7HF14I, C8HF16I, C9HF18I, C10HF20I, C4HF6I, C5HF8I, C6HF10I, C7HF12I, C8HF14I, C9HF16I, C10HF18I, C4HF4I, C5HF6I, C6HF8I, C7HF10I, C8HF12I, C9HF14I, C10HF16I, C4HF7I2, C5HF9I2, C6HF11I2, C7HF13I2, C8HF15I2, C9HF17I2, C10HF19I2, C4HF5I2, C5HF7I2, C6HF9I2, C7HF11I2, C8HF13I2, C9HF15I2, C10HF17I2, C4HF3I2, C5HF5I2, C6HF7I2, C7HF9I2, C8HF11I2, C9HF13I2, C10HF15I2, C4HF6I3, C5HF8I3, C6HF10I3, C7HF12I3, C8HF14I3, C9HF16I3, C10HF18I3, C4HF4I3, C5HF6I3, C6HF8I3, C7HF10I3, C8HF12I3, C9HF14I3, C10HF16I3, C4HF5I4, C5HF7I4, C6HF9I4, C7HF11I4, C8HF13I4, C9HF15I4, C10HF17I4, C4HF3I4, C5HF5I4, C6HF7I4, C7HF9I4, C8HF11I4, C9HF13I4, C10HF15I4, C4HFI4, C5HF3I4, C6HF5I4, C7HF7I4, C8HF9I4, C9HF11I4, C10HF13I4 또는 이들의 이성질체임;
Figure pct00020
요오드-함유 에칭 화합물은 C4H2F7I, C5H2F9I, C6H2F11I, C7H2F13I, C8H2F15I, C9H2F17I, C10H2F19I, C4H2F5I, C5H2F7I, C6H2F9I, C7H2F11I, C8H2F13I, C9H2F15I, C10H2F17I, C4H2F6I2, C5H2F8I2, C6H2F10I2, C7H2F12I2, C8H2F14I2, C9H2F16I2, C10H2F18I2, C4H2F4I2, C5H2F6I2, C6H2F8I2, C7H2F10I2, C8H2F12I2, C9H2F14I2, C10H2F16I2, C4H2F5I3, C5H2F7I3, C6H2F9I3, C7H2F11I3, C8H2F13I3, C9H2F15I3, C10H2F17I3, C4H2F3I3, C5H2F5I3, C6H2F7I3, C7H2F9I3, C8H2F11I3, C9H2F13I3, C10H2F15I3, C4H2F4I4, C5H2F6I4, C6H2F8I4, C7H2F10I4, C8H2F12I4, C9H2F14I4, C10H2F16I4, C4H2F2I4, C5H2F4I4, C6H2F6I4, C7H2F8I4, C8H2F10I4, C9H2F12I4, C10H2F14I4 또는 이들의 이성질체임;
Figure pct00021
요오드-함유 에칭 화합물은 C4H3F6I, C5H3F8I, C6H3F10I, C7H3F12I, C8H3F14I, C9H3F16I, C10H3F18I, C4H3F4I, C5H3F6I, C6H3F8I, C7H3F10I, C8H3F12I, C9H3F14I, C10H3F16I, C4H3F5I2, C5H3F7I2, C6H3F9I2, C7H3F11I2, C8H3F13I2, C9H3F15I2, C10H3F17I2, C4H3F3I2, C5H3F5I2, C6H3F7I2, C7H3F9I2, C8H3F11I2, C9H3F13I2, C10H3F15I2, C4H3F4I3, C5H3F6I3, C6H3F8I3, C7H3F10I3, C8H3F12I3, C9H3F14I3, C10H3F16I3, C4H3F2I3, C5H3F4I3, C6H3F6I3, C7H3F8I3, C8H3F10I3, C9H3F12I3, C10H3F14I3, C4H3F3I4, C5H3F5I4, C6H3F7I4, C7H3F9I4, C8H3F11I4, C9H3F13I4, C10H3F15I4, C4H3F1I4, C5H3F3I4, C6H3F5I4, C7H3F7I4, C8H3F9I4, C9H3F11I4, C10H3F13I4 또는 이들의 이성질체임;
Figure pct00022
요오드-함유 에칭 화합물은 C4H4F5I, C5H4F7I, C6H4F9I, C7H4F11I, C8H4F13I, C9H4F15I, C10H4F17I, C4H4F3I, C5H4F5I, C6H4F7I, C7H4F9I, C8H4F11I, C9H4F13I, C10H4F15I, C4H4F4I2, C5H4F6I2, C6H4F8I2, C7H4F10I2, C8H4F12I2, C9H4F14I2, C10H4F16I2, C4H4F2I2, C5H4F4I2, C6H4F6I2, C7H4F8I2, C8H4F10I2, C9H4F12I2, C10H4F14I2, C4H4F3I3, C5H4F5I3, C6H4F7I3, C7H4F9I3, C8H4F11I3, C9H4F13I3, C10H4F15I3, C4H4FI3, C5H4F3I3, C6H4F5I3, C7H4F7I3, C8H4F9I3, C9H4F11I3, C10H4F13I3, C4H4F2I4, C5H4F4I4, C6H4F6I4, C7H4F8I4, C8H4F10I4, C9H4F12I4, C10H4F14I4, C4H4I4, C5H4F2I4, C6H4F4I4, C7H4F6I4, C8H4F8I4, C9H4F10I4, C10H4F12I4 또는 이들의 이성질체임;
Figure pct00023
요오드-함유 에칭 화합물은 C4F9I 또는 이의 이성질체임;
Figure pct00024
플라즈마 활성화된 요오드-함유 에칭 화합물은 규소-함유 필름과 반응하여 휘발성 부산물을 형성함;
Figure pct00025
휘발성 부산물은 반응 챔버로부터 제거됨;
Figure pct00026
규소-함유 필름은 산소, 질소, 탄소, 수소, 탄소 또는 이들의 조합을 포함함;
Figure pct00027
규소-함유 필름은 산화규소(SiO), 질화규소(SiN), 결정질 Si, 폴리-규소(p-Si), 다결정질 규소, 비정질 규소, 저-k SiCOH, SiOCN, SiC, SiON 및 SiaObHcCdNe(여기서, a>0; b, c, d 및 e ≥ 0임)의 층, 교번하는 SiO 및 SiN (ONON) 층, 교번하는 SiO 및 p-Si (OPOP) 층을 포함함;
Figure pct00028
규소-함유 필름은 선택적으로 도펀트, 예컨대 B, C, P, As, Ga, In, Sn, Sb, Bi 및/또는 Ge, 또는 이들의 조합을 함유함;
Figure pct00029
규소-함유 필름은 산소, 질소, 탄소, 수소, 또는 이들의 조합을 포함함;
Figure pct00030
규소-함유 필름은 SiOxNyHzCk(여기서, x는 0 내지 2의 범위이고, y는 0 내지 4의 범위이고, z는 0 내지 약 1의 범위이고, k는 0 내지 1의 범위임)임;
Figure pct00031
규소-함유 필름은 SiO 층을 포함함;
Figure pct00032
규소-함유 필름은 SiN 층임;
Figure pct00033
규소-함유 필름은 교번하는 SiO 및 SiN (ONON) 층을 포함함;
Figure pct00034
규소-함유 필름은 교번하는 SiO 및 p-Si (OPOP) 층을 포함함;
Figure pct00035
규소-함유 필름은 도펀트, 예컨대 B, C, P, As, Ga, In, Sn, Sb, Bi 및/또는 Ge를 포함함;
Figure pct00036
교번하는 층들은 산화규소, 질화규소, 폴리실리콘, 결정질 실리콘, SiOCH, SiON, SiaObCcNdHe(여기서, a>0; b, c, d 및 e ≥ 0) 또는 이들의 조합의 층을 포함함;
Figure pct00037
교번하는 층들은 산소 원자, 질소 원자, 탄소 원자, 수소 원자 또는 이들의 조합을 포함함;
Figure pct00038
교번하는 층들은 규소-함유 필름임;
Figure pct00039
교번하는 층들은 산화규소의 층 및 질화규소의 층을 포함함;
Figure pct00040
교번하는 층들은 산화규소 및 질화규소의 교번하는 층들을 포함함;
Figure pct00041
교번하는 층들은 산화규소 및 질화규소의 교번하는 층들임;
Figure pct00042
교번하는 층들은 산화규소의 층 및 폴리실리콘의 층을 포함함;
Figure pct00043
교번하는 층들은 산화규소 및 폴리실리콘의 교번하는 층들을 포함함;
Figure pct00044
교번하는 층들은 산화규소 및 폴리실리콘의 교번하는 층들임;
Figure pct00045
하드마스크 층이 규소-함유 층 상에 배치됨;
Figure pct00046
하드마스크 층은 패턴화된 하드마스크 층임;
Figure pct00047
하드마스크 층은 비정질 탄소 층, 도핑된 비정질 탄소 층, 포토레지스트 층, 반사 방지 층, 유기 평탄화 층 또는 이들의 조합임;
Figure pct00048
하드마스크 층은 CVD, PECVD, ALD, PEALD 또는 스핀 온 증착된(spin on deposited, SOD) 비정질 탄소 또는 도핑된 비정질 탄소, 규소-함유 스핀 온 마스크, 또는 탄소-함유 스핀 온 마스크의 층임;
Figure pct00049
하드마스크 층은 비정질 탄소(a-C) 층임;
Figure pct00050
하드마스크 층은 도핑된 탄소 층임;
Figure pct00051
도핑된 비정질 탄소 층은 붕소-도핑된 a-C 층임;
Figure pct00052
도핑된 비정질 탄소 층은 텅스텐-도핑된 a-C 층임;
Figure pct00053
교번하는 층들은 하드마스크 층으로부터 선택적으로 에칭됨;
Figure pct00054
교번하는 층들은 a-C 층으로부터 선택적으로 에칭됨;
Figure pct00055
교번하는 층들은 도핑된 탄소 층으로부터 선택적으로 에칭됨;
Figure pct00056
산화규소 및 질화규소의 교번하는 층들은 a-C 층으로부터 선택적으로 에칭됨;
Figure pct00057
산화규소 및 질화규소의 교번하는 층들은 도핑된 탄소 층으로부터 선택적으로 에칭됨;
Figure pct00058
산화규소 및 폴리실리콘의 교번하는 층들은 a-C 층으로부터 선택적으로 에칭됨;
Figure pct00059
산화규소 및 폴리실리콘의 교번하는 층들은 도핑된 탄소 층으로부터 선택적으로 에칭됨;
Figure pct00060
산화규소 층은 하드마스크 층으로부터 선택적으로 에칭됨;
Figure pct00061
산화규소 층은 a-C 층으로부터 선택적으로 에칭됨;
Figure pct00062
산화규소 층은 도핑된 탄소 층으로부터 선택적으로 에칭됨;
Figure pct00063
질화규소 층은 하드마스크 층으로부터 선택적으로 에칭됨;
Figure pct00064
질화규소 층은 a-C 층으로부터 선택적으로 에칭됨;
Figure pct00065
질화규소 층은 도핑된 탄소 층으로부터 선택적으로 에칭됨;
Figure pct00066
폴리실리콘 층은 하드마스크 층으로부터 선택적으로 에칭됨;
Figure pct00067
폴리실리콘 층은 a-C 층으로부터 선택적으로 에칭됨;
Figure pct00068
폴리실리콘 층은 도핑된 탄소 층으로부터 선택적으로 에칭됨;
Figure pct00069
규소-함유 필름은 비정질 탄소 층, 도핑된 비정질 탄소 층, 포토레지스트 층, 반사 방지 층 또는 유기 평탄화 층으로부터 선택적으로 에칭됨;
Figure pct00070
산화규소 층은 비정질 탄소 층, 도핑된 비정질 탄소 층, 포토레지스트 층, 반사 방지 층 또는 유기 평탄화 층으로부터 선택적으로 에칭됨;
Figure pct00071
요오드-함유 에칭 화합물은 산화규소 층 및 질화규소 층 둘 모두를 높은 에칭 속도로 에칭함;
Figure pct00072
산화규소 층 및 질화규소 층 둘 모두를 에칭하는 요오드-함유 에칭 화합물의 선택성은 대략 1:2 내지 대략 2:1의 범위임;
Figure pct00073
요오드-함유 에칭 화합물 플라즈마는 SiO 층 대 SiN 층에 대해 대략 1:2 내지 대략 2:1의 선택성을 가지고 교번하는 SiO 및 SiN (ONON) 층을 에칭함;
Figure pct00074
요오드-함유 에칭 화합물 플라즈마는 SiO 층 대 SiN 층에 대해 대략 1:1의 선택성을 가지고 교번하는 SiO 및 SiN (ONON) 층을 에칭함;
Figure pct00075
산화규소 층 및 질화규소 층 둘 모두를 에칭하는 요오드-함유 에칭 화합물의 선택성은 대략 1:1임;
Figure pct00076
요오드-함유 에칭 화합물은 산화규소 층 및 폴리실리콘 층 둘 모두를 높은 에칭 속도로 에칭함;
Figure pct00077
산화규소 층 및 폴리실리콘 층 둘 모두를 에칭하는 요오드-함유 에칭 화합물의 선택성은 대략 1:2 내지 대략 2:1의 범위임;
Figure pct00078
요오드-함유 에칭 화합물 플라즈마는 SiO 층 대 p-Si 층에 대해 대략 1:2 내지 대략 2:1의 선택성을 가지고 교번하는 SiO 및 p-Si (OPOP) 층을 에칭함;
Figure pct00079
요오드-함유 에칭 화합물 플라즈마는 SiO 층 대 p-Si 층에 대해 대략 1:1의 선택성을 가지고 교번하는 SiO 및 p-Si (OPOP) 층을 에칭함;
Figure pct00080
산화규소 층 및 폴리실리콘 층 둘 모두를 에칭하는 요오드-함유 에칭 화합물의 선택성은 대략 1:1임;
Figure pct00081
요오드-함유 에칭 화합물을 사용하여 산화규소 층을 에칭하는 에칭 속도는 전통적인 에칭 가스인 cC4F8, C4F6 CF4, CH3F, CF3H, CH2F2, 또는 이들의 조합을 사용하여 산화규소 층을 에칭하는 에칭 속도보다 높음;
Figure pct00082
요오드-함유 에칭 화합물을 사용하여 산화규소 층을 에칭하는 에칭 속도는 대략 640 nm/min임;
Figure pct00083
요오드-함유 에칭 화합물을 사용하여 산화규소 층을 에칭하는 에칭 속도는 전통적인 에칭 가스 cC4F8을 사용하여 산화규소 층 SiO2를 에칭하는 에칭 속도보다 큼;
Figure pct00084
요오드-함유 에칭 화합물을 사용하여 산화규소 층을 에칭하는 에칭 속도는 전통적인 에칭 가스 C4F6을 사용하여 산화규소 층 SiO2를 에칭하는 에칭 속도보다 큼;
Figure pct00085
C4F9I를 사용하여 산화규소 층을 에칭하는 에칭 속도는 응축을 피하기 위해 플라즈마 에칭을 위한 요오드-함유 에칭 화합물의 가열과 함께 에칭 가스 cC4F8 또는 C4F6을 사용하여 산화규소 층 SiO2를 에칭하는 에칭 속도보다 큼;
Figure pct00086
C4F9I를 사용하여 산화규소 층을 에칭하는 에칭 속도는 응축을 피하기 위해 플라즈마 에칭을 위한 요오드-함유 에칭 화합물의 가열과 함께 에칭 가스 cC4F8을 사용하여 산화규소 층 SiO2를 에칭하는 에칭 속도보다 큼;
Figure pct00087
C4F9I를 사용하여 산화규소 층을 에칭하는 에칭 속도는 응축을 피하기 위해 플라즈마 에칭을 위한 요오드-함유 에칭 화합물의 가열과 함께 에칭 가스 C4F6을 사용하여 산화규소 층 SiO2를 에칭하는 에칭 속도보다 큼;
Figure pct00088
응축을 피하기 위해 플라즈마 에칭을 위한 요오드-함유 에칭 화합물을 가열함;
Figure pct00089
규소-함유 필름에 형성된 패턴화된 구조는 종횡비가 대략 1:1 내지 대략 200:1임;
Figure pct00090
요오드-함유 에칭 화합물의 원하는 유량을 유지하기 위해 플라즈마 에칭을 위한 요오드-함유 에칭 화합물을 가열함;
Figure pct00091
요오드-함유 에칭 화합물은 에칭될 규소-함유 필름의 하부에 위치된 랜딩(landing) 층으로부터 규소-함유 필름을 선택적으로 에칭함;
Figure pct00092
랜딩 층은 에칭될 구조의 하부에 위치된 매립된 랜딩 층임;
Figure pct00093
랜딩 층은 에칭 정지(etching stop) 층임;
Figure pct00094
랜딩 층은 규소 층임;
Figure pct00095
랜딩 층은 금속 층임;
Figure pct00096
랜딩 층은 3D NAND 구조에서의 텅스텐 금속 월드라인(worldline) 및/또는 다른 금속, 예컨대 W, Cu, Al, Ru, Pt, Ti, Ta, Ni, Co, Mo, Mn, Nb, Cr, Rh, Pd, Ir, V, Au, Ag 또는 이들의 조합임;
Figure pct00097
랜딩 층은 금속 산화물 또는 금속 질화물 층임;
Figure pct00098
랜딩 층은 AlO, WO, TiN, 또는 TaN 층임;
Figure pct00099
금속 층은 W, Cu, Al, Ru, Pt, Ti, Ta, Ni, Co, Mo, 또는 에칭 정지 층(AlO, WO, TiN, TaN으로부터 선택됨)으로부터 선택됨;
Figure pct00100
랜딩 층은 AlO, WO, HfO, TiO, TaO, InO, WO, CrO, RuO, CoO, MoO, ZrO, SnO, TiN, TaN, HfN, AlN, WN, MoN, NiN, NbN, CrN, RuN, CoN, ZrN, SrN 또는 이들의 조합으로부터 선택되는 금속 산화물 층 또는 금속 질화물 층임;
Figure pct00101
산소 함유 가스를 요오드-함유 에칭 화합물에 첨가함;
Figure pct00102
산소 함유 가스는 O2, O3, CO, CO2, NO, NO2, N2O, SO2, COS, H2O 및 이들의 조합으로 이루어진 군으로부터 선택됨;
Figure pct00103
산소 함유 가스는 O2임;
Figure pct00104
챔버에 도입 전에 요오드-함유 에칭 화합물 및 산소 함유 가스를 혼합하여 혼합물을 생성함;
Figure pct00105
산소 함유 가스와 별도로 요오드-함유 에칭 화합물을 도입함;
Figure pct00106
산소 함유 가스를 연속적으로 도입하고 요오드-함유 에칭 화합물을 도입함;
Figure pct00107
산소 함유 가스는 요오드-함유 에칭 화합물과 산소 함유 가스의 총 부피의 대략 0.01% v/v 내지 대략 99.9% v/v를 구성함;
Figure pct00108
산소 함유 가스는 요오드-함유 에칭 화합물과 산소 함유 가스의 총 부피의 대략 0.01% v/v 내지 대략 10% v/v를 구성함;
Figure pct00109
챔버에 도입 전에 요오드-함유 에칭 화합물 및 불활성 가스를 혼합하여 혼합물을 생성함;
Figure pct00110
불활성 가스와 별도로 요오드-함유 에칭 화합물을 도입함;
Figure pct00111
불활성 가스를 연속적으로 도입하고 요오드-함유 에칭 화합물을 펄스식으로 도입함;
Figure pct00112
불활성 가스는 요오드-함유 에칭 화합물의 증기와 불활성 가스의 총 부피의 대략 0.01% v/v 내지 대략 99.9% v/v를 구성함;
Figure pct00113
불활성 가스는 요오드-함유 에칭 화합물의 증기와 불활성 가스의 총 부피의 대략 90% v/v 내지 대략 99% v/v를 구성함;
Figure pct00114
기판은 Si 웨이퍼임;
Figure pct00115
기판은 결정질 실리콘 웨이퍼임;
Figure pct00116
패턴화된 구조를 형성함;
Figure pct00117
패턴화된 구조는 3D NAND 개구(aperture)임;
Figure pct00118
패턴화된 구조는 콘택트 홀(contact hole)임;
Figure pct00119
패턴화된 구조는 3D NAND 콘택트 홀임;
Figure pct00120
패턴화된 구조는 DRAM 콘택트임;
Figure pct00121
패턴화된 구조는 채널 홀임;
Figure pct00122
패턴화된 구조는 3D NAND 채널 홀임;
Figure pct00123
패턴화된 구조는 3D NAND 슬릿 콘택트(slit contact)임;
Figure pct00124
개구는 계단 콘택트(staircase contact)임;
Figure pct00125
개구는 자가-정렬된 콘택트(self-aligned contact)임;
Figure pct00126
개구는 자가-정렬된 비아(self-aligned via)임;
Figure pct00127
개구는 수퍼 비아(super via)임;
Figure pct00128
요오드-함유 에칭 화합물에 추가 에칭 가스를 도입하는 단계를 추가로 포함함;
Figure pct00129
추가 에칭 가스는 cC4F8, C4F8, cC5F8, C5F8, C4F6, CF4, CH3F, CF3H, CH2F2, C3HF7, C3F6, C3H2F6, C3H2F4, C3H3F5, C4HF7, C5HF9, C3F6, C3F8, CF3I, C2F3I, C2F5I, C3F7I, 1-요오도헵타플루오로프로판(1-C3F7I), 2-요오도헵타플루오로프로판(2-C3F7I), C3HF7, COS, FNO, F-C≡N, CS2, SO2, SF6, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐 (트랜스-C4H2F6), 시스-1,1,1,4,4,4-헥사플루오로-2-부텐 (시스-C4H2F6), 헥사플루오로이소부텐 (C4H2F6), 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄 (트랜스-C4H2F6), 1,1,2,2,3-펜타플루오로시클로부탄 (C4H3F5), 1,1,2,2-테트라플루오로시클로부탄 (C4H4F4), 및 시스-1,1,2,2,3,4-헥사플루오로시클로부탄 (시스-C4H2F6) 또는 이들의 조합으로 이루어진 군으로부터 선택됨;
Figure pct00130
추가 에칭 가스와 별도로 요오드-함유 에칭 화합물을 도입함;
Figure pct00131
요오드-함유 에칭 화합물에 대략 0.01% v/v 내지 대략 99.99% v/v의 추가 에칭 가스를 첨가함;
Figure pct00132
RF 전력을 인가함으로써 플라즈마를 활성화시킴;
Figure pct00133
대략 25 W 내지 대략 100,000 W 범위의 RF 전력에 의해 플라즈마를 활성화시킴;
Figure pct00134
에칭 압력은 대략 1 mTorr 내지 대략 10 Torr의 범위임;
Figure pct00135
에칭 압력은 30 mTorr임;
Figure pct00136
요오드-함유 에칭 화합물을 대략 0.1 sccm 내지 대략 1 slm 범위의 유량으로 도입함;
Figure pct00137
기판을 대략 -196℃ 내지 대략 500℃ 범위의 온도로 유지함;
Figure pct00138
기판을 대략 -120℃ 내지 대략 300℃ 범위의 온도로 유지함;
Figure pct00139
기판을 대략 -100℃ 내지 대략 50℃ 범위의 온도로 유지함;
Figure pct00140
기판을 대략 -10℃ 내지 대략 40℃ 범위의 온도로 유지함; 및
Figure pct00141
사중극자 질량 분석계, 광학 방출 분광계, FTIR, 또는 다른 라디칼/이온 측정 툴(tool)에 의해 플라즈마 하에서 요오드-함유 에칭 화합물을 측정함.
기판에 패턴화된 구조를 형성하면서 패턴화된 마스크 층을 보강하고, 강화하고, 그의 손상을 최소화하는 방법이 또한 개시되며, 이 방법은
기판 상에 배치된 규소-함유 필름 및 규소-함유 층 상에 배치된 패턴화된 마스크 층을 갖는 기판을 수용하는 반응 챔버 내로 화학식 CnHxFyIz(여기서, 4 ≤ n ≤ 10, 0 ≤ x ≤ 21, 0 ≤ y ≤ 21, 및 1 ≤ z ≤ 4임)를 갖는 요오드-함유 에칭 화합물의 증기를 도입하는 단계; 및
플라즈마를 활성화시켜 형성된 활성화된 요오드-함유 에칭 화합물을 사용하여 패턴화된 마스크 층으로부터 규소-함유 필름을 에칭하여 패턴화된 구조를 형성하는 단계를 포함하며,
활성화된 요오드-함유 에칭 화합물은 요오드 이온을 생성하고, 이는 패턴화된 하드마스크 층에 주입되어, 패턴화된 마스크 층을 강화한다. 개시된 방법은 하기 양태들 중 하나 이상을 포함할 수 있다:
Figure pct00142
요오드-함유 에칭 화합물은 불소-함유 탄화수소 화합물임;
Figure pct00143
요오드-함유 에칭 화합물은 플루오로카본 화합물임;
Figure pct00144
요오드-함유 에칭 화합물은 유기불소 화합물임;
Figure pct00145
요오드-함유 에칭 화합물은 탄화수소 화합물임;
Figure pct00146
활성화된 요오드-함유 에칭 화합물이 패턴화된 마스크 층을 강화하는 요오드 이온을 형성함;
Figure pct00147
요오드-함유 에칭 화합물은 C4F9I, C5F11I, C6F13I, C7F15I, C8F17I, C9F19I C10F21I 또는 이들의 이성질체임; 및
Figure pct00148
요오드-함유 에칭 화합물은 C4F9I 또는 이의 이성질체임.
기판에 패턴화된 구조를 형성하는 공정에서 패턴 마스크 층을 요오드로 도핑함으로써 및/또는 패턴 마스크 층의 표면을 요오드로 개질함으로써 패턴 마스크 층의 내에칭성을 증가시키는 것이 또한 개시되며, 이 방법은
기판 상에 배치된 규소-함유 필름 및 규소-함유 층 상에 배치된 패턴화된 마스크 층을 갖는 기판을 수용하는 반응 챔버 내로 화학식 CnHxFyIz(여기서, 4 ≤ n ≤ 10, 0 ≤ x ≤ 21, 0 ≤ y ≤ 21, 및 1 ≤ z ≤ 4임)를 갖는 요오드-함유 에칭 화합물의 증기를 도입하는 단계;
플라즈마를 활성화시켜, 패턴화된 마스크 층 내로 요오드를 도핑할 수 있는 활성화된 요오드-함유 에칭 화합물을 생성하여, 패턴화된 마스크 층을 요오드로 개질하여 패턴화된 마스크 층의 내에칭성을 증가시키는 단계; 및
패턴화된 마스크 층으로부터 규소-함유 필름을 에칭하여 패턴화된 구조를 형성하면서 활성화된 요오드-함유 에칭 화합물로부터의 요오드 이온을 패턴화된 마스크 층 내로 주입하는 단계를 포함한다. 개시된 방법은 하기 양태들 중 하나 이상을 포함할 수 있다:
Figure pct00149
요오드-함유 에칭 화합물은 불소-함유 탄화수소 화합물임;
Figure pct00150
요오드-함유 에칭 화합물은 플루오로카본 화합물임;
Figure pct00151
요오드-함유 에칭 화합물은 탄화수소 화합물임;
Figure pct00152
요오드-함유 에칭 화합물은 유기불소 화합물임;
Figure pct00153
요오드-함유 에칭 화합물은 C4F9I, C5F11I, C6F13I, C7F15I, C8F17I, C9F19I 및 C10F21I 또는 이들의 이성질체임;
Figure pct00154
요오드-함유 에칭 화합물은 C4F9I 또는 이의 이성질체임;
Figure pct00155
기판으로부터 규소-함유 필름을 에칭하여 패턴화된 구조를 형성하면서 활성화된 요오드-함유 에칭 화합물로부터의 요오드 이온을 패턴화된 마스크 층의 표면 및/또는 벌크 내로 주입함;
Figure pct00156
기판으로부터 규소-함유 필름을 에칭하여 패턴화된 구조를 형성하면서 활성화된 요오드-함유 에칭 화합물로부터의 요오드 이온을 패턴화된 마스크 층의 표면 내로 주입함; 및
Figure pct00157
기판으로부터 규소-함유 필름을 에칭하여 패턴화된 구조를 형성하면서 활성화된 요오드-함유 에칭 화합물로부터의 요오드 이온을 패턴화된 마스크 층의 벌크 내로 주입함.
표기법 및 명명법
다음의 상세한 설명 및 청구범위는 일반적으로 이 기술 분야에 잘 알려진 다수의 약어, 기호 및 용어를 사용한다. 편의상, 정의에는 전형적으로 각 두문자어(acronym)의 첫 번째 예가 제공되지만, 표 1은 이의 각각의 정의와 함께 사용되는 약어, 기호, 및 용어의 목록을 제공한다.
[표 1]
Figure pct00158
Figure pct00159
본원에서 사용되는 바와 같이, 단수형은 하나 이상을 의미한다.
본원에서 사용되는 바와 같이, 텍스트(text)에서 또는 청구범위에서 "약(about, around)" 또는 "대략(approximately)"은 기술된 값의 ±10%를 의미한다.
본원에서 사용되는 바와 같이, 텍스트에서 또는 청구범위에서 "실온"은 대략 20℃ 내지 대략 25℃를 의미한다.
"주변 온도"라는 용어는 대략 20℃ 내지 대략 25℃의 주위 온도를 지칭한다.
"기판"이라는 용어는, 공정이 수행되는 재료 또는 재료들을 지칭한다. 기판은, 공정이 수행되는 재료(들)를 갖는 웨이퍼를 지칭할 수 있다. 기판은 반도체, 광전지, 평판 패널, 또는 LCD-TFT 디바이스 제조에서 사용되는 임의의 적합한 웨이퍼일 수 있다. 기판은 또한, 이전 제조 단계에서 이미 기판 상에 증착된 상이한 재료들의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 규소 층(예를 들어, 결정질, 비정질, 다공성 등), 규소 함유 층(예를 들어, SiO2, SiN, SiON, SiC, SiCN, SiOCN, SiCOH 등), 금속 함유 층(예를 들어, 구리, 코발트, 루테늄, 텅스텐, 망간, 백금, 팔라듐, 니켈, 루테늄, 금 등), 또는 이들의 조합을 포함할 수 있다. 추가로, 기판은 평면형이거나 패턴화될 수 있다. 기판은 유기 패턴화된 포토레지스트 필름일 수 있다. 기판은, MEMS, 3D NAND, MIM, DRAM, 또는 FeRam 디바이스 응용에서 유전체 재료(예를 들어, ZrO2계 재료, HfO2계 재료, TiO2계 재료, 희토류 산화물계 재료, 삼원 산화물계 재료 등)로서 사용되는 산화물의 층 또는 전극으로서 사용되는 질화물계 필름(예를 들어, TaN, TiN, NbN)을 포함할 수 있다. 당업자는, 본원에서 사용된 "필름" 또는 "층"이라는 용어가 표면 위에 놓인 또는 그 위에서 확전된 일부 재료의 두께를 지칭하며, 표면이 트렌치 또는 라인일 수 있다는 것을 인지할 것이다. 명세서 및 청구범위 전반에 걸쳐, 웨이퍼 및 그 위의 임의의 결합된 층들이 기판으로 지칭된다.
"웨이퍼" 또는 "패턴화된 웨이퍼"라는 용어는, 기판 상의 규소-함유 필름들의 스택 및 패턴 에칭을 위해서 형성된 규소-함유 필름들의 스택 상의 패턴화된 하드마스크 층을 가지는 웨이퍼를 지칭한다.
"패턴 에칭" 또는 "패턴화된 에칭"이라는 용어는 규소-함유 필름의 스택 상에 패턴화된 마스크 층과 같은 비-평면 구조를 에칭하는 것을 지칭한다.
본원에서 사용되는 바와 같이, 용어 "에칭하다" 또는 "에칭"은 에칭 가스와 기판 사이에서 이온 충돌(ion bombardment), 원격 플라즈마, 또는 화학 증기 반응을 통해 플라즈마를 사용하여 재료를 제거하는 것을 의미하며 등방성 에칭 공정 및/또는 비등방성 에칭 공정을 지칭한다. 등방성 에칭 공정은, 기판 상의 재료의 일부가 제거되는 결과를 초래하는 에칭 화합물과 기판 사이의 화학적 반응을 포함한다. 이러한 유형의 에칭 공정은 화학적 건식 에칭, 증기 상 화학적 에칭, 열적 건식 에칭 등을 포함한다. 등방성 에칭 공정은 기판 내에서 측방향 또는 수평 에칭 프로파일을 생성한다. 등방성 에칭 공정은 기판 내의 미리-형성된 개구의 측벽 상에서 함몰부 또는 수평 함몰부를 생성한다. 비등방성 에칭 공정은, 이온 충돌이 수직 방향으로 화학적 반응을 가속하고, 그에 따라 수직 측벽이 마스킹된 피쳐의 에지를 따라서 기판에 직각으로 형성되는 플라즈마 에칭 공정(즉, 건식 에칭 공정)을 포함한다(문헌[Manos and Flamm, Thermal etching an Introduction, Academic Press, Inc. 1989 pp.12-13]). 플라즈마 에칭 공정은 기판 내에 수직 에칭 프로파일을 생성한다. 플라즈마 에칭 공정은 기판에 수직 비아, 개구, 트렌치, 채널 홀, 게이트 트렌치, 계단 콘택트, 커패시터 홀, 콘택트 홀, 슬릿 에칭, 자가-정렬된 콘택트, 자가-정렬된 비아, 수퍼 비아 등을 생성한다.
"마스크"라는 용어는 에칭에 저항하는 층을 지칭한다. 마스크 층은 에칭될 층 위에 위치될 수 있다. 마스크 층은 하드마스크 층으로도 지칭된다.
"에칭 정지"라는 용어는 아래 층들을 보호하는 에칭될 층 아래의 층을 지칭한다.
"디바이스 채널"이라는 용어는 실제 디바이스의 일부인 층을 지칭하며, 이에 대한 임의의 손상은 디바이스 성능에 영향을 미칠 것이다.
"종횡비"라는 용어는 트렌치의 폭(또는 비아의 직경)에 대한 트렌치(또는 비아)의 높이의 비율을 지칭한다.
"선택성"이라는 용어는 다른 재료의 에칭 속도에 대한 하나의 재료의 에칭 속도의 비를 의미한다. "선택적 에칭" 또는 "선택적으로 에칭하다"라는 용어는 다른 재료보다 하나의 재료를 더 많이 에칭하거나, 다시 말해서, 2개의 재료들 간에 1:1 초과 또는 미만의 에칭 선택성을 갖는 것을 의미한다.
"독립적으로"라는 용어는 R 기를 기술하는 문맥에서 사용될 때 대상 R 기가 동일하거나 상이한 하첨자 또는 상첨자를 갖는 다른 R 기에 대해 독립적으로 선택될 뿐만 아니라 동일한 R 기의 임의의 추가 화학종에 대해서도 독립적으로 선택된다는 것을 의미하는 것으로 이해되어야 한다. 예를 들어, 화학식 MR1 x (NR2R3)(4-x)(여기서, M은 원자이고, x는 2 또는 3임)에서, 2개 또는 3개의 R1 기는 서로 동일할 수 있거나 R2와 또는 R3과 동일할 수 있지만, 반드시 그러할 필요는 없다. 게다가, 특별히 달리 언급되지 않는 한, 상이한 화학식에서 사용될 때 R 기들의 값은 서로 독립적인 것으로 이해되어야 한다.
본원에서, "필름" 및 "층"이라는 용어는 상호 교환 가능하게 사용될 수 있음에 유의한다. 필름이 층에 해당하거나 이와 관련될 수 있으며, 층이 필름을 지칭할 수 있는 것으로 이해된다. 또한, 당업자는, 본원에서 사용되는 "필름" 또는 "층"이라는 용어가 표면 위에 놓인 또는 그 위에서 확전된 일부 재료의 두께를 지칭하며, 표면이 전체 웨이퍼 정도로 큰 것으로부터 트렌치 또는 라인 정도로 작은 것까지의 범위일 수 있다는 것을 인지할 것이다.
본원에서, "에칭 화합물" 및 "에칭 가스"라는 용어는 서로 교환 가능하게 사용될 수 있음에 유의한다. 에칭 화합물이 에칭 가스에 해당하거나 이와 관련될 수 있으며, 에칭 가스가 에칭 화합물을 지칭할 수 있는 것으로 이해된다.
"비아", "개구", "트렌치" 및 "홀"이라는 용어는 때때로 상호 교환 가능하게 사용되며, 일반적으로 중간층 절연체 내의 개구부를 의미한다.
본원에서 사용되는 바와 같이, 약어 "NAND"는 "Negated AND" 또는 "Not AND" 게이트를 지칭하고; 약어 "2D"는 평면 기판 상의 2차원 게이트 구조를 지칭하며; 약어 "3D"는 3차원 또는 수직 게이트 구조를 지칭하며, 여기서, 게이트 구조는 수직 방향으로 적층된다.
원소 주기율표로부터의 원소의 표준 약어가 본원에서 사용된다. 원소가 그 약어에 의해서 지칭될 수 있다는 것(예를 들어, Si는 규소를 지칭하고, N은 질소를 지칭하고, O는 산소를 지칭하며, C는 탄소를 지칭하고, H는 수소를 지칭하고, F는 불소를 지칭하고, 기타 등등으로 지칭한다는 것)을 이해하여야 한다.
화학물질 식별 서비스에 의해 지정된 고유한 CAS 등록 번호(즉, "CAS")는 개시된 특정 분자를 더 잘 식별하는 데 도움을 주기 위해 제공된다.
규소-함유 필름, 예를 들어, 질화규소 및 산화규소가 이의 적절한 화학양론을 언급하지 않고 명세서 및 청구범위 전반에 걸쳐서 열거되어 있다는 것을 주목한다. 규소-함유 필름은 결정질 Si, 폴리-규소(p-Si 또는 다결정질 Si), 또는 비정질 규소와 같은 순수한 규소(Si) 층; 질화규소(SikNl) 층; 또는 산화규소(SinOm) 층; 또는 이들의 혼합물을 포함할 수 있으며, 여기서, k, l, m, 및 n은 0.1 내지 6의 범위(종점 포함)이다. 바람직하게는, 질화규소는 SikNl이며, 식 중, k 및 l은 각각 0.5 내지 1.5의 범위이다. 더욱 바람직하게는, 질화규소는 Si3N4이다. 바람직하게는, 산화규소는 SinOm이며, 식 중, n은 0.5 내지 1.5의 범위이고, m은 1.5 내지 3.5의 범위이다. 더욱 바람직하게는, 산화규소는 SiO2이다. 본원에서, 하기 설명에서 SiN 및 SiO는 각각 SikNl 및 SinOm 함유 층을 나타내기 위해 사용된다. 규소-함유 필름은 또한 Applied Materials, Inc.에 의한 화학식 SiOCH를 갖는 Black Diamond II 또는 III 재료와 같은, 유기계 또는 산화규소계 저-k 유전체 재료와 같은 산화규소계 유전체 재료일 수 있다. 규소-함유 필름은 또한 SiaObCcNdHe를 포함할 수 있으며, 여기서, a, b, c, d, e는 0.1 내지 6의 범위이다. 규소-함유 필름은 또한 B, C, P, As, Ga, In, Sn, Sb, Bi 및/또는 Ge와 같은 도펀트를 포함할 수 있다.
범위는 본원에 대략적으로 하나의 특정 값으로부터, 및/또는 대략적으로 다른 특정 값까지인 것으로 표현될 수 있다. 그러한 범위가 표현되었을 때, 또 다른 실시 형태가, 그러한 범위 내의 모든 조합과 함께, 하나의 특정 값으로부터 및/또는 다른 특정 값까지라는 것이 이해되어야 한다.
본원에서 "일 실시 형태" 또는 "실시 형태"의 언급은, 실시 형태와 관련하여 설명된 특정 특징, 구조, 또는 특성이 본 발명의 적어도 하나의 실시 형태에 포함될 수 있다는 것을 의미한다. 명세서 내의 여러 곳에서의 "일 실시 형태에서"라는 문구의 출현 모두가 반드시 동일한 실시 형태를 지칭하는 것이 아니고, 별개의 또는 대안적인 실시 형태가 다른 실시 형태와 반드시 상호 배타적인 것도 아니다. "구현예"라는 용어에서도 마찬가지이다.
본 발명의 특성 및 목적을 더욱 잘 이해하기 위하여, 첨부된 도면과 관련하여 기술된 하기 상세한 설명이 참조되어야 하며, 이러한 도면에서, 유사한 구성요소에는 동일하거나 유사한 참조 번호가 제공된다.
도 1a는 3D NAND 스택에서 예시적인 층들의 측단면도이다.
도 1b는 3D NAND 스택의 에칭 동안 측벽 상에 증착된 중합체를 나타내는 3D NAND 스택에서의 예시적인 층들의 측단면도이다.
도 1c는 3D NAND 스택의 교번하는 SiO/SiN 층 에칭 동안 발생된 입자를 나타내는 3D NAND 스택에서의 예시적인 층들의 측단면도이다.
도 1d는 3D NAND 스택에서 측벽 상에 노출된 SiN의 선택적 에칭을 나타내는 3D NAND 스택에서의 예시적인 층들의 측단면도이다.
도 2는 DRAM 스택의 예시적인 층들의 측단면도이다.
도 3a는 트랜지스터 구조를 생성하기 위해 전형적인 트랜지스터 디바이스 영역을 둘러싸는 SiO 절연 층 위의 포토레지스트 패턴을 나타내는 예시적인 층들의 측단면도이다.
도 3b는 SiO 절연 층을 에칭한 후 도 3a의 예시적인 층들의 측단면도이다.
도 4는 1-C3F7I, O2 및 Ar을 사용한 SiO, SiN, p-Si 및 a-C의 에칭 속도를 나타내는 그래프이다.
도 5는 C3F7I의 화학종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타낸 그래프이다.
도 6은 1-C4F9I, O2 및 Ar을 사용한 SiO, SiN, p-Si 및 a-C의 에칭 속도를 나타내는 그래프이다.
도 7은 a-C 기판 상의 1-C4F9I 깊이 프로파일이다.
도 8은 a-C(B) 기판 상의 1-C4F9I 깊이 프로파일이다.
도 9는 a-C(W) 기판 상의 1-C4F9I 깊이 프로파일이다.
도 10은 cC4F8을 사용한 SiO, SiN, p-Si 및 a-C의 에칭 속도를 나타내는 그래프이다.
도 11은 C4F6을 사용한 SiO, SiN, p-Si 및 a-C의 에칭 속도를 나타내는 그래프이다.
규소-함유 필름에서, 채널 홀, 게이트 트렌치, 계단 콘택트, 커패시터 홀, 콘택트 홀 고종횡비 구조, 3D NAND, 슬릿 트렌치, 자가-정렬된 콘택트, 자가-정렬된 비아, 수퍼 비아 등과 같은 반도체 구조를 플라즈마 에칭하는 방법이 개시된다. 개시된 방법은 i) 기판 상의 규소-함유 필름을 수용하는 반응 챔버 내로 화학식 CnHxFyIz(여기서, 4 ≤ n ≤ 10, 0 ≤ x ≤ 21, 0 ≤ y ≤ 21, 및 1 ≤ z ≤ 4임)를 갖는 요오드-함유 에칭 화합물의 증기를 도입하는 단계; ii) 반응 챔버 내로 불활성 가스를 도입하는 단계; 및 iii) 플라즈마를 활성화시켜, 기판으로부터 규소-함유 필름을 에칭할 수 있는 활성화된 요오드-함유 에칭 화합물을 생성하는 단계를 포함한다. 여기서, 불활성 가스는 Ar, Kr, Xe, N2, He 또는 Ne일 수 있다.
하기 실시예에 나타나 있는 바와 같이, 개시된 요오드-함유 에칭 화합물에서의 요오다이드 이온은 낮은 에너지(eV)(즉, 20 eV 미만)에서 화합물로부터 해리된다. 결과적으로, 본 출원인은, 개시된 에칭 화합물이 더 낮은 플라즈마 에너지에서 수행될 수 있기 때문에, 하부의 기판에 대한 손상을 덜 일으키는 건식 플라즈마 에칭 공정에서 사용될 수 있다고 생각한다. 예를 들어, 개시된 요오드-함유 에칭 화합물은, 더 낮은 플라즈마 에너지가 에칭 부위를 둘러싸는 다공성의 저-k 재료에 대한 손상을 덜 일으킬 수 있기 때문에, 손상이 특히 우려되는 저-k 에칭 공정을 위해 특히 적합할 수 있다. 저 k 재료에 대한 낮은 손상은 요오드-함유 에칭 화합물에 의해 제공되는 UV 광자 및 F 라디칼의 감소된 발생으로 인한 것일 수 있다. 개시된 요오드-함유 에칭 화합물은 또한, 임계 치수의 손실을 방지하고, 저-k 표면 거칠기를 감소시킬 수 있다. 또한, 2개의 요오드 원자를 갖는 분자는 이점들을 향상시킬 수 있지만, 이러한 것은 요오드 원자의 크기로 인해 휘발성을 상실한다.
요오드(10.5 eV)는 불소(17.4 eV) 및 불활성 가스: Ar (15.8 eV), Xe (12.1 eV), 및 Kr (14 eV)보다 낮은 이온화 임계값을 갖는다. 따라서, 요오드는 불소보다 플라즈마에 의해 더 쉽게 이온화될 수 있으며 요오드-함유 에칭 화합물은 플라즈마 밀도를 증가시킬 수 있다. 무거운 원소(127 amu의 질량을 가짐)인 요오드는 또한 플라즈마에서 불활성 가스(예컨대 88 amu를 갖는 Kr, 및 131 amu를 갖는 Xe)와 유사하게 거동할 수 있으며 웨이퍼를 향해 가속될 수 있다. 고종횡비 구조의 에칭 동안, 이온의 에너지는 깊이 침투할수록 약해지므로, 많은 이온이 트렌치 하부에 도달할 수 없다. 그러나, 무거운 요오드 이온은 플라즈마 에칭 공정 동안 인가되는 전압에 의해 웨이퍼를 향해 가속될 수 있으며 깊이 침투하여 높은 종횡비를 갖는 깊은 패턴 구조를 에칭할 수 있다. 불소의 이온화 임계값은 이를 중성 화학종으로 유지하는 역할을 한다. 결과적으로, 불소는 전형적으로 화학 반응을 통해 에칭 공정에 참여한다. 요오드는 불소보다 낮은 화학 반응성을 나타내고, 이온화되며, 플라즈마 에칭 공정 동안 표면에 충돌한다. C-I에 대한 결합 에너지는 또한, C-F에 대한 결합 에너지보다 낮으며, 이에 의해, C-F 결합과 비교하여 플라즈마에서 C-I 결합을 더욱 용이하게 파괴하게 한다. 따라서, 요오드 이온은 a-C 마스크 층 내로 쉽게 도핑될 수 있다. 요오드는 무거운 원소이기 때문에, 더 가벼운 원소보다 주입하기 더 쉽고 마스크 층에 더 깊숙이 침투한다. 또한, C-I 결합의 취약성과 요오드 이온화 임계값이 낮다는 사실로 인해, 요오드 함유 에칭 화합물은 대기중 수명이 낮거나 무시할 수 있을 정도이므로 퍼플루오로카본 화합물로 인한 지구 온난화 지수를 감소시키는 데 좋은 후보이다.
하기 비교예를 참조하면, 요오다이드 이온은 낮은 eV(즉, < 20 eV)에서 개시된 에칭 화합물로부터 해리된다. 그러나, 표면 및/또는 본체/벌크와 같은 마스크 피쳐 내로의 요오다이드 이온 주입의 가능성이 높다. 이러한 주입은 마스크 피쳐를 강화하고, 그의 손상을 최소화하고, 그의 내에칭성을 증가시키는 데 도움을 줄 수 있고, 에칭 공정 동안 그의 형상을 유지하는 데 도움을 줄 수 있다. 하기 실시예에 나타나 있는 바와 같이, 개시된 요오드-함유 에칭 화합물을 사용한 후에 패턴 마스크의 조성이 변경된다. 본 출원인은 요오드 이온이 (비정질 탄소 마스크와 같은) 유기 마스크 층과의 가교결합을 증가시킴으로써 표면을 개질하고 패턴 마스크 층의 벌크 내에 혼입된다고 생각한다. 요오드 이온의 도핑 및 탄소, 요오드, 수소 및 불소 원자 사이, 바람직하게는 탄소와 요오드 사이의 가교결합으로 인해, 패턴 마스크 층의 밀도가 증가하여 패턴 마스크 층을 더 강하게 만든다. 다음의 실시예에서는, cC4F8 및 C4F6과 같은 전통적인 에칭 가스에 의해 에칭될 때보다 요오드-함유 에칭 화합물에 의해 에칭될 때 패턴 마스크 층의 에칭 속도가 더 낮다는 것을 보여줌으로써 요오드 이온에 의한 강화 효과 및 내에칭성 개선을 입증하였다.
개시된 요오드-함유 에칭 화합물은 화학식 CnHxFyIz(여기서, 4 ≤ n ≤ 10, 0 ≤ x ≤ 21, 0 ≤ y ≤ 21, 1 ≤ z ≤ 4임)를 갖는다. x = 0일 때, 개시된 요오드-함유 에칭 화합물은 수소를 전혀 함유하지 않을 수 있어서, 화학식 CnFyIz(여기서, 4 ≤ n ≤ 10, 0 ≤ y ≤ 21, 1 ≤ z ≤ 4 및 y + z ≤ 2n+2임)를 갖는다. n = 4일 때, 개시된 요오드-함유 에칭 화합물은 화학식 C4FyIz (여기서, 1 ≤ z ≤ 4, y ≤ 10 - z임)를 갖는다. H를 함유하지 않는 예시적인 C4 요오드-함유 에칭 화합물은 C4F9I, C5F11I, C6F13I, C7F15I, C8F17I, C9F19I, C10F21I, C4F7I, C5F9I, C6F11I, C7F13I, C8F15I, C9F17I, C10F19I, C4F5I, C5F7I, C6F9I, C7F11I, C8F13I, C9F17I, C10F17I, C4F8I2, C5F10I2, C6F12I2, C7F14I2, C8F16I2, C9F18I2, C10F20I2, C4F6I2, C5F8I2, C6F10I2, C7F12I2, C8F14I2, C9F16I2, C10F18I2, C4F4I2, C5F6I2, C6F8I2, C7F10I2, C8F12I2, C9F14I2, C10F16I2, C4F7I3, C5F9I3, C6F11I3, C7F13I3, C8F15I3, C9F17I3, C10F19I3, C4F4I3, C5F6I3, C6F8I3, C7F10I3, C8F12I3, C9F14I3, C10F16I3, C4F3I3, C5F5I3, C6F7I3, C7F9I3, C8F11I3, C9F13I3, C10F15I3, C4F6I4, C5F8I4, C6F10I4, C7F12I4, C8F14I4, C9F16I4, C10F18I4, C4F4I4, C5F6I4, C6F8I4, C7F10I4, C8F12I4, C9F14I4, C10F16I4, C4F2I4, C5F4I4, C6F6I4, C7F8I4, C8F10I4, C9F12I4, C10F14I4, 및 이들의 이성질체를 포함한다.
일반식: CnF2n+1I (여기서, 4 ≤ n ≤ 10임)을 갖는, H를 함유하지 않는 예시적인 개시된 요오드-함유 에칭 화합물이 표 2에 열거되어 있다. 이러한 분자들은 구매가능하거나, 당해 분야에 공지된 방법에 의해 합성될 수 있다. 이의 구조 화학식, CAS 번호 및 비점은 표 2에 포함되어 있다. 일반식: CnF2n+1I (여기서, 4 ≤ n ≤ 10임)을 갖는, H를 함유하지 않는 개시된 요오드-함유 에칭 화합물은 또한 그의 이성질체를 포함한다.
[표 2]
Figure pct00160
Figure pct00161
개시된 요오드-함유 에칭 화합물은 산화규소(SiO), 질화규소(SiN), 순수한 규소(Si), 예컨대 결정질 Si, 폴리-규소(p-Si 또는 다결정질 Si), 또는 비정질 규소, 저-k SiCOH, SiOCN, SiC, SiON, SiaObHcCdNe (여기서, a>0; b, c, d 및 e ≥ 0임), 또는 이들의 조합의 층을 포함하는 규소-함유 필름을 에칭하는 데 적합하다. 규소-함유 필름은 교번하는 SiO 및 SiN (ONON) 층 또는 SiO 및 p-Si (OPOP) 층을 또한 포함할 수 있다. 규소-함유 필름은 또한 B, C, P, As, Ga, In, Sn, Sb, Bi 및/또는 Ge와 같은 도펀트를 포함할 수 있다.
개시된 요오드-함유 에칭 화합물이 H를 포함하는 경우(그러나 이에 한정되지는 않음), 마스크 층에 대한 높은 선택성을 유지하면서 SiN의 에칭 속도를 향상시킬 수 있다. x=1일 때 하나의 H를 갖는 개시된 요오드-함유 에칭 화합물은 화학식 CnHFyIz (여기서, 4 ≤ n ≤ 10, 0 ≤ y ≤ 20, 1 ≤ z ≤ 4, 및 y + z ≤ 2n+1임)를 갖는다. 예시적인 화합물은 C4HF8I, C5HF10I, C6HF12I, C7HF14I, C8HF16I, C9HF18I, C10HF20I, C4HF6I, C5HF8I, C6HF10I, C7HF12I, C8HF14I, C9HF16I, C10HF18I, C4HF4I, C5HF6I, C6HF8I, C7HF10I, C8HF12I, C9HF14I, C10HF16I, C4HF7I2, C5HF9I2, C6HF11I2, C7HF13I2, C8HF15I2, C9HF17I2, C10HF19I2, C4HF5I2, C5HF7I2, C6HF9I2, C7HF11I2, C8HF13I2, C9HF15I2, C10HF17I2, C4HF3I2, C5HF5I2, C6HF7I2, C7HF9I2, C8HF11I2, C9HF13I2, C10HF15I2, C4HF6I3, C5HF8I3, C6HF10I3, C7HF12I3, C8HF14I3, C9HF16I3, C10HF18I3, C4HF4I3, C5HF6I3, C6HF8I3, C7HF10I3, C8HF12I3, C9HF14I3, C10HF16I3, C4HF5I4, C5HF7I4, C6HF9I4, C7HF11I4, C8HF13I4, C9HF15I4, C10HF17I4, C4HF3I4, C5HF5I4, C6HF7I4, C7HF9I4, C8HF11I4, C9HF13I4, C10HF15I4, C4HFI4, C5HF3I4, C6HF5I4, C7HF7I4, C8HF9I4, C9HF11I4, C10HF13I4 및 이들의 이성질체를 포함한다.
x=2일 때 2개의 수소를 갖는 개시된 요오드-함유 에칭 화합물은 화학식 CnH2FyIz (여기서, 4 ≤ n ≤ 10, 0 ≤ y ≤ 19, 1 ≤ z ≤ 4, 및 y + z ≤ 2n임)를 갖는다. 예시적인 화합물은 C4H2F7I, C5H2F9I, C6H2F11I, C7H2F13I, C8H2F15I, C9H2F17I, C10H2F19I, C4H2F5I, C5H2F7I, C6H2F9I, C7H2F11I, C8H2F13I, C9H2F15I, C10H2F17I, C4H2F6I2, C5H2F8I2, C6H2F10I2, C7H2F12I2, C8H2F14I2, C9H2F16I2, C10H2F18I2, C4H2F4I2, C5H2F6I2, C6H2F8I2, C7H2F10I2, C8H2F12I2, C9H2F14I2, C10H2F16I2, C4H2F5I3, C5H2F7I3, C6H2F9I3, C7H2F11I3, C8H2F13I3, C9H2F15I3, C10H2F17I3, C4H2F3I3, C5H2F5I3, C6H2F7I3, C7H2F9I3, C8H2F11I3, C9H2F13I3, C10H2F15I3, C4H2F4I4, C5H2F6I4, C6H2F8I4, C7H2F10I4, C8H2F12I4, C9H2F14I4, C10H2F16I4, C4H2F2I4, C5H2F4I4, C6H2F6I4, C7H2F8I4, C8H2F10I4, C9H2F12I4, C10H2F14I4 및 이들의 이성질체를 포함한다.
x=3일 때 3개의 수소를 갖는 개시된 요오드-함유 에칭 화합물은 화학식 CnH3FyIz (여기서, 4 ≤ n ≤ 10, 0 ≤ y ≤ 18, 1 ≤ z ≤ 4, 및 y + z ≤ 2n-1임)를 갖는다. 예시적인 화합물은 C4H3F6I, C5H3F8I, C6H3F10I, C7H3F12I, C8H3F14I, C9H3F16I, C10H3F18I, C4H3F4I, C5H3F6I, C6H3F8I, C7H3F10I, C8H3F12I, C9H3F14I, C10H3F16I, C4H3F5I2, C5H3F7I2, C6H3F9I2, C7H3F11I2, C8H3F13I2, C9H3F15I2, C10H3F17I2, C4H3F3I2, C5H3F5I2, C6H3F7I2, C7H3F9I2, C8H3F11I2, C9H3F13I2, C10H3F15I2, C4H3F4I3, C5H3F6I3, C6H3F8I3, C7H3F10I3, C8H3F12I3, C9H3F14I3, C10H3F16I3, C4H3F2I3, C5H3F4I3, C6H3F6I3, C7H3F8I3, C8H3F10I3, C9H3F12I3, C10H3F14I3, C4H3F3I4, C5H3F5I4, C6H3F7I4, C7H3F9I4, C8H3F11I4, C9H3F13I4, C10H3F15I4, C4H3F1I4, C5H3F3I4, C6H3F5I4, C7H3F7I4, C8H3F9I4, C9H3F11I4, C10H3F13I4 및 이들의 이성질체를 포함한다.
x=4일 때 4개의 수소를 갖는 개시된 요오드-함유 에칭 화합물은 화학식 CnH4FyIz (여기서, 4 ≤ n ≤ 10, 0 ≤ y ≤ 17, 1 ≤ z ≤ 4, 및 y + z ≤ 2n-2임)를 갖는다. 예시적인 화합물은 C4H4F5I, C5H4F7I, C6H4F9I, C7H4F11I, C8H4F13I, C9H4F15I, C10H4F17I, C4H4F3I, C5H4F5I, C6H4F7I, C7H4F9I, C8H4F11I, C9H4F13I, C10H4F15I, C4H4F4I2, C5H4F6I2, C6H4F8I2, C7H4F10I2, C8H4F12I2, C9H4F14I2, C10H4F16I2, C4H4F2I2, C5H4F4I2, C6H4F6I2, C7H4F8I2, C8H4F10I2, C9H4F12I2, C10H4F14I2, C4H4F3I3, C5H4F5I3, C6H4F7I3, C7H4F9I3, C8H4F11I3, C9H4F13I3, C10H4F15I3, C4H4FI3, C5H4F3I3, C6H4F5I3, C7H4F7I3, C8H4F9I3, C9H4F11I3, C10H4F13I3, C4H4F2I4, C5H4F4I4, C6H4F6I4, C7H4F8I4, C8H4F10I4, C9H4F12I4, C10H4F14I4, C4H4I4, C5H4F2I4, C6H4F4I4, C7H4F6I4, C8H4F8I4, C9H4F10I4, C10H4F12I4 및 이들의 이성질체를 포함한다.
출원인은 4개 미만의 탄소의 분자보다 4개 또는 4개 초과의 탄소 원자를 갖는 분자가 에칭 공정 동안 더 우수한 에칭 속도 및 측벽 보호, 예를 들어 직선형 프로파일, 보우잉 없음, 테이퍼링(tapering) 없음, 트위스팅(twisting) 없음, 불완전한 에칭 없음, 에칭되는 구조의 상부에서 하부까지 임계 치수의 변화 없음을 제공할 수 있다고 생각한다. 출원인은 4개 미만의 탄소의 분자보다 4개 또는 4개 초과의 탄소 원자를 갖는 분자가 보우잉, 테이퍼링, 트위스팅 및 불완전한 에칭, 또는 에칭되는 구조의 상부에서 하부까지 임계 치수의 변화 없이 더 직선형 프로파일을 제공할 수 있다고 생각한다. 본 출원인들은 4개 이상의 탄소의 분자가 더 두꺼운 패시베이션 층을 생성할 것으로 생각하기 때문에, 측벽 보호를 위하여 4개 이상의 탄소의 분자가 바람직하다.
개시된 요오드-함유 에칭 화합물은 마스크 층, 포토레지스트, 에칭 정지 층 및 디바이스 채널 재료에 대한 높은 선택성을 제공할 수 있으며, DRAM 및 3D NAND 구조와 같은 1:1 내지 200:1 범위의 종횡비를 갖는 구조와 같은 고종횡비 구조(HAR)에서 프로파일 왜곡을 제공하지 않을 수 있다. 대안적으로, 개시된 요오드-함유 에칭 화합물은 콘택트 에칭 응용에서 1:1 내지 200:1 범위의 종횡비를 갖는 것과 같은, 마스크 층 또는 규소-함유 필름에 대한 높은 선택성을 또한 제공할 수 있다.
개시된 요오드-함유 에칭 화합물은 에칭의 넓은 공정 조건에 대해 무한한 선택성을 제공할 수 있다. 본원에서, 선택성은 2개의 상이한 층의 에칭 속도 비를 지칭한다. 예를 들어, SiO 층 대 a-C 층에 대한 선택성은 SiO의 에칭 속도를 a-C 층의 에칭 속도로 나눈 것이다. 개시된 요오드-함유 에칭 화합물은 규소-함유 필름과 마스크 재료 사이의 개선된 선택성, 채널 영역에 대한 더 적은 손상, 개선된 임계 치수 균일성 및 감소된 프로파일 왜곡, 예컨대 보우잉, 트위스팅, 테이퍼링, 노칭(notching), 언더-컷(under-cut)을 제공할 수 있으며, 에칭 구조의 상부에서 하부까지 동일한 임계 치수 및 패턴 고종횡비 구조에서의 아킹(arcing)을 유지할 수 있다. 개시된 요오드-함유 에칭 화합물은 또한 p-Si, SiO, 및/또는 SiN의 교번하는 층들을 통해 에칭하여, 수직 에칭 프로파일을 생성할 수 있다. (즉, 교번하는 층들 사이에서 2:1 내지 1:2 범위의 선택성을 나타냄). 개시된 요오드-함유 에칭 화합물 플라즈마는 SiO 층 대 SiN 층에 대해 대략 1:2 내지 대략 2:1의 선택성을 가지고 교번하는 SiO 및 SiN (ONON) 층을 에칭한다. 바람직하게는, 개시된 요오드-함유 에칭 화합물 플라즈마는 SiO 층 대 SiN 층에 대해 대략 1:1의 선택성을 가지고 교번하는 SiO 및 SiN (ONON) 층을 에칭한다.
개시된 요오드-함유 에칭 화합물은 95% v/v 초과의 순도, 바람직하게, 99.99% v/v 초과의 순도, 및 더욱 바람직하게, 99.999% v/v 초과의 순도로 제공된다. 개시된 요오드-함유 에칭 화합물은 5 부피% 미만의 미량 가스 불순물을 함유하며, 상기 미량 가스상 불순물에는 부피 기준으로 150 ppm 미만의 불순물 가스, 예를 들어, N2 및/또는 H2O 및/또는 CO2가 함유된다. 바람직하게는, 플라즈마 에칭 가스 내의 물 함량은 중량 기준으로 20 ppm 미만이다. 정제된 생성물은 증류에 의해 및/또는 4 Å 분자체와 같은 적합한 흡착제를 통해 가스 또는 액체를 통과시킴으로써 생성될 수 있다.
개시된 요오드-함유 에칭 화합물은 10% v/v 미만, 바람직하게, 1% v/v 미만, 더욱 바람직하게, 0.1% v/v 미만, 및 더욱더 바람직하게, 0.01% v/v 미만의 이의 임의의 이성질체를 함유하며, 이는 이성질체를 제거하기 위해 가스 또는 액체의 증류에 의해 정제될 수 있고, 보다 양호한 공정 반복성을 제공할 수 있다.
대안적으로, 개시된 요오드-함유 에칭 화합물은 특히, 이성질체 혼합물이 개선된 공정 파라미터를 제공할 때 또는 타겟 이성질체의 단리가 너무 어렵거나 고가인 경우에, 0.01% v/v 내지 99.99% v/v의 이의 이성질체를 함유할 수 있다. 예를 들어, 개시된 요오드-함유 에칭 화합물은 대략 50% v/v 내지 대략 75% v/v의 1-요오도노나플루오로부탄 및 대략 25% v/v 내지 대략 50% v/v의 2-요오도노나플루오로부탄 및 대략 25% v/v 내지 대략 50% v/v의 요오도노나플루오로-t-부탄을 포함할 수 있다. 이성질체들의 혼합물은 또한, 반응 챔버로의 2개 이상의 가스 라인에 대한 필요를 감소시킬 수 있다.
개시된 요오드-함유 에칭 화합물들 중 일부는 실온 및 대기압에서 가스상이다. 비-가스상(즉, 액체 또는 고체) 화합물의 경우에, 이의 가스 형태는 직접 기화와 같은 통상적인 기화 단계를 통해 화합물을 기화시킴으로써 또는 불활성 가스(예컨대 N2, Ar, He)로 버블링시킴으로써 생성될 수 있다. 비-가스상 화합물은 기화기로 액체 상태로 공급될 수 있으며, 여기서, 이는 반응기 내에 도입되기 전에 기화된다.
개시된 요오드-함유 에칭 화합물은 규소-함유 필름에서, 반도체 구조, 예를 들어, 채널 홀, 게이트 트렌치, 계단 콘택트, 슬릿, 커패시터 홀, 콘택트 홀, 자가-정렬된 콘택트, 자가-정렬된 비아, 수퍼 비아 등을 플라즈마 에칭하기에 적합하다. 개시된 요오드-함유 에칭 화합물은 고종횡비 구조의 양호한 프로파일과 함께 마스크 상에 손상을 거의 유도하지 않거나 전혀 유도하지 않기 때문에, 개시된 요오드-함유 에칭 화합물은 현재 입수가능한 마스크 재료와 상용성(compatible)일 뿐만 아니라 미래 세대의 마스크 재료와도 상용성이다. 다시 말해서, 개시된 요오드-함유 에칭 화합물은 보우잉, 패턴 붕괴, 또는 거칠기를 최소화시키거나 전혀 가지지 않는 수직 에칭된 패턴을 생성할 수 있다. 이러한 성질을 달성하기 위하여, 개시된 요오드-함유 에칭 화합물은 에칭 공정 동안 산소 및 불소 라디칼의 직접 충격을 감소시키는 데 도움을 주기 위해 에칭 동안 내에칭성 중합체 층을 증착시킬 수 있다. 개시된 요오드-함유 에칭 화합물은 또한 에칭 동안 p-Si 또는 결정질 Si 채널 구조에 대한 손상을 감소시킬 수 있다.
개시된 요오드-함유 에칭 화합물은 대부분의 응용에서 에칭될 구조의 하부에 위치된 금속 층인 매립된 랜딩 층 또는 재료로부터 규소-함유 층을 선택적으로 에칭한다. 개시된 요오드-함유 에칭 화합물은 금속 랜딩 층을 에칭하지 않는다. 매립된 랜딩 층은 에칭 정지 층 또는 확산 장벽 층일 수 있다. 금속 랜딩 층의 재료는 3D NAND 구조에서의 텅스텐 금속 월드라인 및/또는 다른 금속, 예컨대 W, Cu, Al, Ru, Pt, Ti, Ta, Ni, Co, Mo, Mn, Pd, Ir, Nb, Cr, Rh, V, Au, Ag 또는 이들의 조합 및/또는 에칭 정지 층, 예컨대 금속 또는 금속 산화물 또는 질화물 층, 예컨대 AlO, WO, HfO, TiO, TaO, InO, CrO, RuO, CoO, MoO, ZrO, SnO TiN, TaN, HfN, AlN, WN, MoN, NiN, NbN, CrN, RuN, CoN, ZrN, SnN 또는 이들의 조합 등일 수 있다. 개시된 요오드-함유 에칭 화합물은 콘택트 홀, 계단 및 슬릿의 하부의 재료(예컨대 3D NAND 구조에서의 텅스텐 금속 월드라인 및/또는 다른 금속, 예컨대 W, Cu, Al, Ru, Pt, Ti, Ta, Ni, Co, Mo, Mn, Pd, Ir, Nb, Cr, Rh, V, Au, Ag 또는 이들의 조합 등) 및/또는 에칭 정지 층의 재료, 예컨대 금속 또는 금속 산화물 또는 질화물 층(AlO, WO, HfO, TiO, TaO, InO, CrO, RuO, CoO, MoO, ZrO, SnO TiN, TaN, HfN, AlN, WN, MoN, NiN, NbN, CrN, RuN, CoN, ZrN, SnN 또는 이들의 조합 등)에 대한 손상을 거의 또는 전혀 유발하지 않는다. 당업자는 장벽 층이 앞서 언급된 금속 및 금속 산화물 및 질화물의 조합으로 또한 구성될 수 있으며, 그러한 장벽 층은 Ti/TiN, Ti/TiN/Ti, TiZrN, Ta/TaN, TaN/Cu/TaN, TaN/Ru/TaN 등임을 인지할 것이다. 장벽은 또한 규화물(예컨대 TiSiN, TaSiN, TiSi2, MnSiO 등), 인화물(예컨대 CuWP, NiMoP, NiP 등), 탄화물(예컨대 TaC, TaCN, WCN 등), 붕화물(예컨대 NiMoB, NiB 등) 또는 이들의 조합을 함유할 수 있다. 바람직하게, 개시된 요오드-함유 에칭 화합물은 반응기/챔버 내로의 전달을 위해 에칭 공정 동안 적합하게 휘발성이고 안정하다.
재료 상용성 시험은 임의의 개시된 요오드-함유 에칭 화합물이 챔버 재료와 반응하고 단기간 또는 장기간 사용에 따라 챔버 성능을 저하시키는지를 결정하는 데 중요하다. 챔버, 밸브 등의 부품과 관련된 주요 재료는 스테인리스강, 알루미늄, 니켈, PCTFE, PVDF, PTFE, PFA, PP, kalrez, viton 및 다른 금속 및 중합체를 포함한다. 때때로, 이러한 재료는 고온, 예를 들어, 20℃보다 높은 온도, 및 고압, 예를 들어, 1 atm보다 높은 압력에 노출되며, 이는 재료의 열화(degradation)를 촉진시킬 수 있다. 계측 방법(metrology method)은 육안 검사, 중량 측정, 주사 전자 현미경법(SEM)에서 나노미터 스케일 변화, 인장 강도, 경도의 측정 등을 포함할 수 있다.
개시된 요오드-함유 에칭 화합물은 기판 상에서 규소-함유 필름을 플라즈마 에칭하기 위해 사용될 수 있다. 개시된 플라즈마 에칭 방법은 반도체 디바이스, 예를 들어, NAND 또는 3D NAND 게이트 또는 플래시 또는 DRAM 메모리 또는 트랜지스터, 예를 들어, 핀-형상 전계-효과 트랜지스터(FinFET), 게이트 올 어라운드(GAA)-FET, 나노와이어-FET, 나노시트-FET, 포크시트(Forksheet)-FET, 상보적 FET(CFET), 벌크 상보적 금속-산화물-반도체(Bulk CMOS), 완전 공핍형 실리콘-온-절연체(FD-SOI) 구조의 제조에서 유용할 수 있다. 개시된 요오드-함유 에칭 화합물은 다른 응용 분야, 예를 들어, 다양한 라인의 프론트 엔드(FEOL) 및 라인의 백 엔드(BEOL) 에칭 응용에서 사용될 수 있다. 추가적으로, 개시된 요오드-함유 에칭 화합물은 기판 상의 로직(logic)에 메모리를 상호연결시키기 위해 3D 관통 실리콘 비아(TSV) 에칭 응용에서 또는 MEMS 응용에서 Si를 에칭하기 위해 또한 사용될 수 있다.
플라즈마 에칭 방법은 반응 챔버 내에 배치된 기판을 갖는 반응 챔버를 제공하는 단계를 포함한다. 반응 챔버는 에칭 방법, 예를 들어, 그리고 비제한적으로, 반응성 이온 에칭(RIE), 단일 또는 다중 주파수 RF 소스로의 용량형 결합 플라즈마(CCP), 유도형 결합 플라즈마(ICP), 또는 마이크로파 플라즈마 반응기, 또는 규소-함유 필름의 일부를 선택적으로 제거하거나 활성 화학종을 발생시킬 수 있는 다른 유형의 에칭 시스템이 일어나는 디바이스 내에서의 임의의 인클로저(enclosure) 또는 챔버일 수 있다. 당업자는, 상이한 플라즈마 반응 챔버 설계가 상이한 전자 온도 조절을 제공한다는 것을 인지할 것이다. 적합한 구매가능한 플라즈마 반응 챔버에는 상표명 eMAXTM으로 시판되는 Applied Materials의 자기적으로 향상된 반응성 이온 에칭기 또는 상표명 2300® FlexTM으로 시판되는 Lam Research Dual CCP의 반응성 이온 에칭기 유전체 에칭 제품 패밀리가 포함되지만, 이에 한정되지 않는다. 여기에서 RF 전력은 플라즈마 성질을 조절하기 위해 펄스화될 수 있고, 이에 의해 에칭 성능(선택성 및 손상)을 추가로 개선할 수 있다.
대안적으로, 플라즈마-처리된 반응물은 반응 챔버의 외측에서 생성될 수 있다. 반응 챔버 내로 통과하기 전에 반응물을 처리하기 위해 MKS Instruments의 ASTRONi® 반응 가스 발생기가 사용될 수 있다. 2.45 GHz, 7 kW 플라즈마 출력, 및 대략 0.5 torr 내지 대략 10 torr 범위의 압력에서 작동 시, 반응물 O2는 2개의 O· 라디칼로 분해될 수 있다. 바람직하게, 원격 플라즈마는 약 1 kW 내지 약 10 kW, 더욱 바람직하게는 약 2.5 kW 내지 약 7.5 kW 범위의 출력으로 발생될 수 있다.
반응 챔버는 하나 또는 하나 초과의 기판을 함유할 수 있다. 예를 들어, 반응 챔버는 25.4 mm 내지 450 mm 직경을 갖는 1 내지 200개의 실리콘 웨이퍼를 함유할 수 있다. 기판은 반도체, 광전지, 평면 패널 또는 LCD-TFT 디바이스 제조에서 사용되는 임의의 적합한 기판일 수 있다. 적합한 기판의 예에는 실리콘 웨이퍼, 실리카 웨이퍼, 유리 웨이퍼, Ge 웨이퍼, SiGe 웨이퍼, GeSn 웨이퍼, InGaAs 웨이퍼, GaSb 웨이퍼, InP 웨이퍼, 또는 GaAs 웨이퍼와 같은 웨이퍼가 포함된다. 웨이퍼는 이전 제조 단계로부터 웨이퍼 상에 다수의 필름 또는 층을 가질 것이며, 이는 규소-함유 필름 또는 층을 포함한다. 층은 패턴화될 수 있거나 되지 않을 수 있다. 적합한 층의 예는 제한 없이 규소(예컨대, 비정질 규소, p-Si, 결정질 규소, 이들 중 임의의 것은 추가로 B, C, P, As, Ga, In, Sn, Sb, Bi 및/또는 Ge로 p-도핑되거나 n-도핑될 수 있음), 실리카, 질화규소, 산화규소, 산질화규소, SiaObHcCdNe(여기서, a>0; b, c, d, e ≥ 0임), Ge, SiGe, GeSn, InGaAs, GaSb, InP; 마스크 층 재료, 예컨대 도펀트를 갖거나 갖지 않는 비정질 탄소, 반사방지 코팅, 포토레지스트 재료, 금속 산화물, 예컨대 AlO, TiO, HfO, ZrO, SnO, TaO 등 또는 금속 질화물, 예컨대 AlN, ZrN, SnN, HfN, 질화티타늄, 질화탄탈럼 등 또는 이들의 조합; 에칭 정지 층 재료, 예컨대 질화규소, 폴리실리콘, 결정질 규소, 탄화규소, SiON, SiCN 또는 이들의 조합, 디바이스 채널 재료, 예컨대 결정질 규소, 에피텍셜 규소, 도핑된 규소, SiaObHcCdNe(여기서, a>0; b, c, d, e ≥ 0임) 또는 이들의 조합을 포함한다. 산화규소 층은 유기계 또는 산화규소계 저-k 유전체 재료(예컨대, 비정질 SiCOH 필름)와 같은 유전체 재료를 형성할 수 있다. 예시적인 저-k 유전체 재료는 Applied Materials에 의해 상표명 Black Diamond II 또는 III로 시판된다. 추가적으로, 텅스텐 또는 귀금속(예컨대, 백금, 팔라듐, 로듐 또는 금)을 포함하는 층이 사용될 수 있다. 게다가 규소-함유 필름의 예는 SiaObHcCdNe(여기서, a>0; b, c, d, e ≥ 0)일 수 있다. 본 명세서 및 청구범위 전체에 걸쳐, 웨이퍼 및 웨이퍼 상의 임의의 관련 층이 기판으로 지칭된다.
하기는 에칭을 위해 개시된 요오드-함유 에칭 화합물이 적용될 수 있는 기판의 예시적인 구현예이다.
일 실시 형태에서, 기판(100)은 도 1a에 도시된 바와 같이 다수의 층들의 스택을 포함할 수 있다. 도 1a는 3D NAND 게이트를 생성하기 위한 3D NAND 스택에서 예시적인 층들의 측단면도이다. 도 1a에서, 7개의 교번하는 SiO/SiN(즉, 104a/104b) 층(104)의 스택은 실리콘 웨이퍼(102)의 상부에 위치된다(즉, ONON 또는 TCAT 기술). 일부 응용에서, 웨이퍼(102)는 매립된 랜딩 층 또는 재료, 예컨대 3D NAND 구조에서의 텅스텐 금속 월드라인 및/또는 다른 금속, 예컨대 W, Cu, Al, Ru, Pt, Ti, Ta, Ni, Co, Mo, Mn, Pd, Ir, Nb, Cr, Rh, V, Au, Ag 또는 이들의 조합 등 및/또는 에칭 정지 또는 확산 장벽 층, 예컨대 금속 또는 금속 산화물 또는 질화물 층(AlO, WO, HfO, TiO, TaO, InO, WO, CrO, RuO, CoO, MoO, TiN, TaN, HfN, AlN, WN, MoN, NiN, NbN, CrN, RuN, CoN 또는 이들의 조합 등)일 수 있다. 당업자는 장벽 층이 앞서 언급된 금속 및 금속 산화물 및 질화물의 조합으로 또한 구성될 수 있으며, 그러한 장벽 층은 Ti/TiN, Ti/TiN/Ti, TiZrN, Ta/TaN, TaN/Cu/TaN, TaN/Ru/TaN 등임을 인지할 것이다. 장벽은 또한 규화물(예컨대 TiSiN, TaSiN, TiSi2, MnSiO 등), 인화물(예컨대 CuWP, NiMoP, NiP 등), 탄화물(예컨대 TaC, TaCN, WCN 등), 붕화물(예컨대 NiMoB, NiB 등) 또는 이들의 조합을 함유할 수 있다. 당업자는, 몇몇 기술이 SiN 층(104b)을 p-Si 층으로 대체한다는 것을 인지할 것이다(즉, SiO/p-Si 또는 P-BICS 기술). 하드마스크 층(106)은 SiO/SiN 층(104)의 상부에 위치된다. 하드마스크 층(106)은 도펀트를 갖거나 갖지 않는 비정질 탄소(a-C) 하드마스크 층일 수 있다. 하드마스크 층(106)은 CVD, PECVD, ALD, PEALD 또는 스핀 온 증착된(SOD) 비정질 탄소 또는 도핑된 비정질 탄소, 규소-함유 스핀 온 마스크, 또는 탄소-함유 스핀 온 마스크의 층일 수 있다. 하드마스크 층(106)은 SiO/SiN 층 에칭 동안 내에칭성을 개선하기 위해서, C 및 H뿐만 아니라, 다른 원소, 예를 들어, 붕소, 질소, 황, 염소, 불소, 또는 금속(Al, Zr, Ti, W, Y) 등을 함유할 수 있다. 이러한 경우에, 하드마스크 층(106)은 도핑된 a-C 층, 예를 들어, 붕소-도핑된 a-C 층, 텅스텐-도핑된 a-C 층 등이다. 반사방지 코팅 층(108)은 하드마스크 층(106)의 상부에 위치된다. 패턴화된 포토레지스트 층(110)은 반사방지 코팅 층(108)의 상부에 위치된다. 여기서, SiON 층(도시되지 않음)이 포토레지스트 층(110)에서의 패턴을 하드마스크 층(106)으로 전달하도록 반사방지 코팅 층(108)과 하드마스크 층(106) 사이에 존재하여 패턴화된 하드마스크 층을 형성할 수 있다. 당업자는 도 1a에서의 기판(100)의 층들의 스택이 단지 예시적인 목적을 위해 제공되며, 개시된 요오드-함유 에칭 화합물이 다른 유형의 층들의 스택을 에칭하기 위해 사용될 수 있다는 것을 인지할 것이다. 또한, 당업자는 기판(100)의 스택에서 교번하는 SiO/SiN 또는 SiO/p-Si 층(104)의 수가 다양할 수 있음을 인지할 것이다(즉, 도시된 7개의 SiO/SiN(104b/104b) 층보다 많거나 적은 층을 포함할 수 있음).
도 1b는 에칭에 의해 측벽 상에 증착된 중합체를 도시하는, 도 1a의 3D NAND 스택에서의 예시적인 층들의 측단면도이다. 개시된 요오드-함유 에칭 화합물은 규소-함유 필름(104)을 이방성으로 에칭하고 도 1b에 도시된 바와 같이 에칭되는 구조의 측벽 상에 I-함유 중합체 패시베이션 층(212)을 증착시키기에 적합한 단편을 플라즈마 공정 동안 생성할 수 있다. 도 1b도 1a의 차이는, 도 1b에서는 개시된 요오드-함유 에칭 화합물을 사용한 플라즈마 에칭에 의해 기판(100)에서 비아(214)가 형성되고, 이는 또한, 비아(214)의 측벽 상에 중합체 패시베이션 층(212)을 증착시킨다는 것이다. 중합체 패시베이션 층(212)은 또한, 더 매끄러운 측벽, 더 적은 보우잉 및 비아(214)의 하부에서의 더 적은 변형을 제공한다. 그러나, 중합체 패시베이션 층(212)은 당해 분야에서 공지된 건식 또는 습식 에칭 화학물질에 의해 용이하게 제거되거나 세정될 수 있다.
도 1c는 3D NAND 스택에서 교번하는 SiO/SiN 층 에칭 동안 발생된 입자(316)를 나타내는, 도 1a의 3D NAND 스택에서의 예시적인 층들의 측단면도이다. 도 1c에 도시된 바와 같이 교번하는 SiO/SiN(즉, 104a/104b) 층(104)의 측벽 상에서 발생된 입자(316)는 개시된 요오드-함유 에칭 화합물을 사용함으로써 최소화될 수 있다. 도 1c도 1d의 차이는, 도 1c에서는 교번하는 SiO/SiN 노출된 측벽이 플라즈마 에칭 동안 발생된 입자(316)를 갖는다는 것이다. 본 출원인은, 개시된 요오드-함유 에칭 화합물이 도 1c에 도시된 입자(316)를 발생시킬 것으로 생각하지 않는다.
도 1d는 이방성 에칭 공정 후에 3D NAND 스택에서 측벽 상에 노출된 SiN 층(104b)의 선택적 등방성 에칭을 나타내는, 도 1a의 3D NAND 스택에서의 예시적인 층들의 측단면도이다. 스택(100)에서 SiN 노출된 측벽을 개시된 요오드-함유 에칭 화합물을 사용하여 도 1d에 도시된 바와 같이 선택적으로 에칭하여, SiO 층(104a)에서의 Si-O 결합에 비해 SiN 층(104b)에서의 Si-N 결합을 선택적으로 파괴하여 비아(214)에서 SiO/SiN 층(104)의 스택 상에 선택적 측벽 SiN 에칭(418)을 형성할 수 있다. 도 1d도 1b의 차이는, 도 1d에서는 교번하는 SiO/SiN 측벽 상에 노출된 SiN이 개시된 요오드-함유 에칭 화합물에 의해 선택적으로 에칭되어 선택적 측벽 SiN 에칭(418)을 형성한다는 것이다. 전형적으로, 선택적 측벽 SiN 에칭(418)은 인산을 갖는 혼합물을 사용한 습식 에칭에 의해 수행된다. 습식 에칭 공정을 건식 플라즈마 에칭 공정으로 대체하는 것은 습식 에칭이 기판을 상이한 습식 에칭 장치쪽으로 이동시키는 것을 필요로 하기 때문에, 반도체 디바이스 제작 공정의 경제성을 크게 개선하는 것으로 알려져 있다. 개시된 방법과 관련하여, 도 1d의 선택적 측벽 SiN 에칭을 포함하는 모든 에칭은 에칭 장비 한 대에서 수행될 수 있으며, 이는 반도체 제작 비용을 감소시킬 수 있다.
대안적인 실시 형태에서, 기판(100)은 도 2에 도시된 바와 같이 다수의 층들의 스택을 포함할 수 있다. 도 2는 DRAM 메모리를 생성하기 위한 DRAM 스택에서 예시적인 층들의 측단면도이다. 도 2에서, 4개의 층의 스택이 실리콘 웨이퍼(102)의 상부에 위치된다. 하드마스크 층(106)이 큰 SiO 층(104a)의 상부에 위치된다. 반사방지 코팅 층(108)이 하드마스크 층(106)의 상부에 위치된다. 패턴 포토레지스트 층(110)이 반사방지 코팅(108)의 상부에 위치된다. SiON 층(도시되지 않음)이 포토레지스트 층(110)에서의 패턴을 하드마스크 층(106)으로 전달하도록 반사방지 코팅 층(108)과 하드마스크 층(106) 사이에 존재할 수 있다. 당업자는, 도 2의 층 스택이 단지 예시적인 목적을 위해 제공되며, 개시된 요오드-함유 에칭 화합물은, 예를 들어, 하드마스크 층(106)이 TiN 층으로 대체된 스택의 경우에, 다른 층의 스택을 에칭하기 위해 사용될 수 있다는 것을 인지할 것이다. 또한, 당업자는, 스택에서 층의 수가 달라질 수 있다는 것을 인지할 것이다(즉, 도시된 층 이상 또는 미만을 포함할 수 있음).
도 3a는 트랜지스터 구조를 생성하기 위해 전형적인 트랜지스터 디바이스 영역을 둘러싸는 SiO 절연 층 위의 포토레지스트 패턴을 나타내는 예시적인 층들의 측단면도이다. 기판(600)은 도 3a에 도시된 바와 같이 실리콘 웨이퍼(602) 상에 지지된 트랜지스터 게이트 전극 영역을 둘러싸는 4개의 층의 스택을 포함할 수 있다. 도 3a에 도시된 트랜지스터 영역은 소스 및 드레인으로서 역할을 하는 2개의 도핑된 규소 영역(606)을 포함한다. 트랜지스터 게이트 유전체(614)는 게이트 전극(616) 아래에 존재한다. 전체 트랜지스터, 즉, 트랜지스터 게이트 유전체(614) 및 게이트 전극(616)은 콘택트 에칭 동안 나중에 에칭 정지 층으로서 역할을 할 수 있는 얇은 SiN 층(608)에 의해 둘러싸여진다. 각 트랜지스터 디바이스 영역(616/606)은 전기적 간섭을 최소화하기 위해 실리콘 웨이퍼(602)에서 SiO 절연 영역(604)에 의해 분리된다. 당업자는, 층(602)이 실리콘 온 절연체(SOI) 웨이퍼의 산화규소 층의 상부에 위치될 수 있음을 인지할 것이다. 다른 SiO 층(610)은 트랜지스터 상에 증착되고, 트랜지스터 디바이스 영역(606)에 대한 임의의 금속 콘택트를 절연시키기 위해 사용된다. 포토레지스트 마스크(612)는 SiO 층(610)을 패턴화하기 위해 사용된다. 에칭은 플라즈마 환경에서 개시된 요오드-함유 에칭 화합물을 사용하여 수행된다. 포토레지스트 마스크(612)는 SiO 층(610)을 에칭하기 위해 주형으로서 역할을 하며, 에칭은 도 3b에 도시된 바와 같이 SiN 층(608) 상에서 정지된다.
도 3b는 SiO 절연 층을 에칭한 후 도 3a의 예시적인 층의 측단면도이다. 도 3b도 3a 사이의 차이는, 도 3b에서는 개시된 요오드-함유 에칭 화합물에 의한 에칭을 통해 SiO 층(610)에서 비아(718)가 형성된다는 것이다. SiO 층(610)은 마스크 층으로서 포토레지스트 층(612)을 사용하여 에칭될 수 있다. 마스크 층은 TiN, a-C 등과 같은 임의의 적합한 포토레지스트 마스크 재료일 수 있다. 에칭은 하부 SiN 층(608)에서 정지될 수 있다.
개시된 요오드-함유 에칭 화합물은 또한, 상이한 플라즈마 조건 및 상이한 혼합물로 SiN 층(608)을 에칭하기 위해 사용될 수 있다. 당업자는, 도 3a도 3b에서 층들의 스택 및 기하학적 구조가 단지 예시적인 목적을 위해 제공되며, 개시된 요오드-함유 에칭 화합물이 다른 유형의 층들의 스택을 에칭하기 위해 사용될 수 있다는 것을 인지할 것이다. 또한, 당업자는, 스택에서 층의 수가 달라질 수 있다는 것을 인지할 것이다(즉, 도시된 4개의 층보다 많거나 적은 층을 포함할 수 있음).
개시된 요오드-함유 에칭 화합물의 증기는 기판 및 규소-함유 필름을 함유한 반응 챔버 내에 도입된다. 증기는 챔버에 대략 0.1 sccm 내지 대략 1 slm 범위의 유량으로 도입될 수 있다. 예를 들어, 200 mm 크기의 웨이퍼의 경우에, 증기는 챔버에 대략 5 sccm 내지 대략 50 sccm 범위의 유량으로 도입될 수 있다. 대안적으로, 450 mm 크기의 웨이퍼의 경우에, 증기는 챔버에 대략 25 sccm 내지 대략 250 sccm 범위의 유량으로 도입될 수 있다. 당업자는 유량이 툴에 따라 달라질 수 있다는 것을 인지할 것이다.
개시된 요오드-함유 에칭 화합물은 순수한 형태(neat form)로 또는 불활성 가스, 예를 들어, N2, Ar, Kr, Ne He, Xe 등, 또는 용매와의 블렌드로 공급될 수 있다. 개시된 요오드-함유 에칭 화합물은 블렌드에서 다양한 농도로 존재할 수 있다. 액체 요오드-함유 화합물의 경우에, 요오드-함유 에칭 화합물의 증기 형태는 직접 기화와 같은 일반적인 기화 단계를 통해 순수한 또는 블렌딩된 요오드-함유 에칭 화합물 용액을 기화시킴으로써 또는 버블링에 의해 생성될 수 있다. 순수한 또는 블렌딩된 요오드-함유 에칭 화합물은 기화기에 액체 상태로 공급될 수 있으며, 여기서, 이는 반응기 내에 도입되기 전에 기화된다.
대안적으로, 순수한 또는 블렌딩된 요오드-함유 에칭 화합물은 개시된 요오드-함유 에칭 화합물을 함유한 용기 내에 운반 가스를 진행시킴으로써 또는 개시된 요오드-함유 화합물 내에 운반 가스를 버블링함으로써 기화될 수 있다. 캐리어 가스는 Ar, He, N2, Kr, Xe, Ne 및 이들의 혼합물을 포함할 수 있지만 이로 한정되지 않는다. 운반 가스로의 버블링은 또한, 순수한 또는 블렌딩된 요오드-함유 에칭 화합물 용액에 존재하는 임의의 용해된 산소를 제거할 수 있다. 운반 가스 및 개시된 요오드-함유 에칭 화합물은 이후에, 반응기 내에 증기로 도입된다.
필요한 경우에, 개시된 요오드-함유 에칭 화합물을 함유한 용기는 요오드-함유 에칭 화합물이 액체 상으로 존재하게 하고 에칭 툴(etching tool) 내로의 전달을 위해 충분한 증기압을 갖게 하는 온도까지 가열될 수 있다. 용기는 예를 들어, 대략 0℃ 내지 대략 150℃, 바람직하게, 대략 25℃ 내지 대략 100℃, 더욱 바람직하게, 대략 25℃ 내지 대략 50℃ 범위의 온도로 유지될 수 있다. 더욱 바람직하게, 용기는 에칭 툴에 대한 가열 라인을 피하기 위해 실온(대략 25℃)으로 유지된다. 당업자는, 용기의 온도가 기화된 요오드-함유 화합물의 양을 조절하기 위해 알려진 방식으로 조정될 수 있다는 것을 인지한다.
추가적으로, 요오드-함유 에칭 화합물은 95 부피% 내지 99.999 부피% 범위의 순도로 전달되고, CO, CO2, N2, H2O, HF, H2S, SO2, 할라이드, 및 다른 탄화수소 또는 하이드로할로카본의 제거를 위한 공지된 표준 정제 기술로 정제될 수 있다.
불활성 가스가 또한, 플라즈마를 지속시키기 위하여 반응 챔버 내에 도입된다. 불활성 가스는 He, Ar, Xe, Kr, Ne, N2, He 또는 이들의 조합일 수 있다. 에칭 가스 및 불활성 가스는 챔버에 도입 전에 혼합될 수 있으며, 불활성 가스는 생성되는 혼합물의 대략 0.01% v/v 내지 대략 99.9% v/v를 구성한다. 대안적으로, 불활성 가스는 챔버에 연속적으로 도입될 수 있는 반면, 에칭 가스는 챔버에 펄스식으로 도입된다.
개시된 에칭 가스 및 불활성 가스의 증기는 활성화된 에칭 가스를 생성하기 위해 플라즈마에 의해 활성화된다. 플라즈마는 에칭 가스를 라디칼 형태(즉, 활성화된 에칭 가스)로 분해시킨다. 플라즈마는 RF 또는 DC 전력을 인가함으로써 발생될 수 있다. 플라즈마는 약 25 W 내지 약 100,000 W 범위의 RF 전력을 사용하여 발생될 수 있다. 플라즈마는 원격으로 또는 반응기 자체 내에서 발생될 수 있다. 플라즈마는 양 전극 모두에 인가된 RF로 이중 CCP 또는 ICP 모드에서 발생될 수 있다. 플라즈마의 RF 주파수는 100 KHz 내지 1 GHz의 범위일 수 있다. 상이한 주파수에서의 상이한 RF 소스는 동일한 전극에 커플링되고 인가될 수 있다. 플라즈마 RF 펄싱(pulsing)은 기판에서 분자 단편화 및 반응을 제어하기 위해 추가로 사용될 수 있다. 당업자는 그러한 플라즈마 처리에 적합한 방법 및 장치를 인지할 것이다.
사중극자 질량 분석계(QMS), 발광 분광계, FTIR, 또는 다른 라디칼/이온 측정 툴은 생성된 화학종의 유형 및 수를 결정하기 위해 챔버 배기가스로부터 활성화된 에칭 가스를 측정할 수 있다. 필요한 경우에, 에칭 가스 및/또는 불활성 가스의 유량은 생성된 라디칼 종의 수를 증가 또는 감소시키기 위해 조정될 수 있다.
개시된 에칭 가스는 반응 챔버 내에 도입 전에 또는 반응 챔버 내에서 다른 가스와 혼합될 수 있다. 바람직하게, 가스는 진입하는 가스의 균일한 농도를 제공하기 위해 챔버에 도입 전에 혼합될 수 있다.
다른 대안예에서, 요오드-함유 에칭 화합물의 증기는 다른 가스와 독립적으로, 예를 들어, 가스들 중 둘 이상이 반응하거나 독립적으로 전달하기 용이할 때 챔버 내에 도입될 수 있다.
다른 대안예에서, 에칭 가스 및 불활성 가스는 에칭 공정 동안 사용되는 단지 2개의 가스이다.
예시적인 다른 가스에는, 제한 없이, 산화제, 예컨대 O2, O3, CO, CO2, NO, N2O, NO2, H2O, H2O2, COS, SO2 및 이들의 조합이 포함된다. 개시된 에칭 가스 및 산화제는 반응 챔버 내에 도입 전에 함께 혼합될 수 있다.
대안적으로, 산화제는 챔버 내에 연속적으로 도입될 수 있으며, 에칭 가스는 챔버 내에 펄스식으로 도입될 수 있다. 산화제는 챔버 내에 도입된 혼합물의 대략 0.01% v/v 내지 대략 99.99% v/v를 포함할 수 있다(99.99% v/v는 연속 도입 대안을 위해 거의 순수한 산화제의 도입을 나타냄).
개시된 요오드-함유 에칭 화합물과 함께 사용될 수 있는 다른 예시적인 가스에는 추가 에칭 가스, 예컨대 cC4F8, C4F8, cC5F8, C5F8, C4F6, CF4, CH3F, CF3H, CH2F2, C3HF7, C3F6, C3H2F6, C3H2F4, C3H3F5, C4HF7, C5HF9, C3F6, C3F8, CF3I, C2F3I, C2F5I, C3F7I, 1-요오도헵타플루오로프로판(1-C3F7I), 2-요오도헵타플루오로프로판(2-C3F7I), C3HF7, COS, FNO, F-C≡N, CS2, SO2, SF6, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐(트랜스-C4H2F6), 시스-1,1,1,4,4,4-헥사플루오로-2-부텐(시스-C4H2F6), 헥사플루오로이소부텐(C4H2F6), 트랜스-1,1,2,2,3,4-헥사플루오로시클로부탄(트랜스-C4H2F6), 1,1,2,2,3-펜타플루오로시클로부탄(C4H3F5), 1,1,2,2-테트라플루오로시클로부탄(C4H4F4), 및 시스-1,1,2,2,3,4-헥사플루오로시클로부탄(시스-C4H2F6) 또는 이들의 조합이 포함된다. 예를 들어, 대략 1% v/v 내지 대략 25% v/v의 개시된 요오드-함유 에칭 화합물이 사용될 수 있고, 잔부는 C4F6 또는 cC4F8이다. 하기 실시예에서 나타내는 바와 같이, 통상적인 에칭 가스와 개시된 요오드-함유 에칭 화합물의 조합은 기판과 개시된 요오드-함유 에칭 화합물과 관련하여 에칭될 층 사이의 더 높은 선택성을 유지하면서, 증가된 에칭 속도를 야기할 수 있다.
개시된 요오드-함유 에칭 화합물의 증기 및 에칭 가스는 반응 챔버에 도입 전에 혼합될 수 있다. 추가적인 에칭 가스는 챔버 내에 도입된 혼합물의 대략 0.01% v/v 내지 대략 99.99% v/v를 차지할 수 있다.
하나의 비제한적인 예시적인 플라즈마 에칭 공정에서, C4F9I와 같은, 개시된 요오드-함유 화합물의 증기는 제어된 가스 유동 디바이스를 사용하여 200 mm Dual CCP 플라즈마 에칭 툴 내로 도입된다. 제어된 가스 유동 디바이스는 원하는 분자의 증기를 전달하기 위해 불활성 가스 유동을 이용하는 질량 유동 제어기 또는 버블러 설계일 수 있다. 고비점 분자의 경우에, Brooks Automation(No. GF120XSD), MKS Instruments 등으로부터의 특수 저압 드롭 질량 유동 제어기(low pressure drop mass flow controller)가 사용될 수 있다. 반응 챔버의 압력은 대략 30 mTorr로 설정된다. 증기압이 충분한 경우에, 가스 공급원 가열이 필요하지 않다. 2개의 CCP 전극들 사이의 거리는 1.35 cm로 유지되며, 상부 전극 RF 전력은 750 W로 고정된다. 하부 전극 RF 전력은 분자의 성능을 분석하기 위해 다양하다. 반응 챔버는 도 1a에 도시된 것과 유사한, 기판 상에 규소-함유 필름을 갖는 기판을 함유한다. 반사방지 코팅 층(108)은 플루오로카본(예를 들어, CF4 및 CH2F2) 및 산소-함유 가스(예를 들어, O2)에 의해 패턴화/에칭된다. 비정질 탄소 마스크 층은 산소-함유 가스에 의해 패턴화/에칭된다. SiO 및 SiN 층(104)은 아르곤 및 개시된 요오드-함유 에칭 화합물(예를 들어, C4F9I)의 플라즈마에 의해 패턴화된다. 아르곤은 독립적으로 챔버 내에 250 sccm 유량으로 도입된다. C4F9I는 독립적으로 챔버 내에 15 sccm으로 도입된다. O2는 독립적으로 챔버 내에 도입되고 최적의 에칭 조건을 결정하기 위해 0 sccm 내지 20 sccm에서 다양하였다. 10:1 이상의 종횡비를 갖는 개구가 생성되며, 이는 3D NAND에서 채널 홀 또는 콘택트 홀 또는 계단 에칭, 슬릿 에칭으로서 사용될 수 있다. 유사한 예는 도 2도 3a에 도시된 바와 같은 다른 스택 층을 위해 사용될 수 있다.
다른 비제한적인 예시적인 플라즈마 에칭 공정에서, C4F9I는 제어된 가스 유동 디바이스를 이용하여 200 mm Dual CCP 플라즈마 에칭 툴 내로 도입된다. 제어된 가스 유동 디바이스는 질량 유동 제어기일 수 있다. 고비점 분자의 경우에, Brooks Automation(No. GF120XSD), MKS Instruments 등으로부터의 특수 저압 드롭 질량 유동 제어기가 사용될 수 있다. 반응 챔버의 압력은 대략 30 mTorr로 설정된다. 증기압이 충분한 경우에, 가스 공급원 가열이 필요하지 않다. 2개의 CCP 전극들 사이의 거리는 1.35 cm로 유지되며, 상부 전극 RF 전력은 750 W로 고정된다. 하부 전극 RF 전력은 C4F9I의 성능을 분석하기 위해 다양하다. 반응 챔버는 도 2에 도시된 층과 유사한, 기판 상에 두꺼운 SiO 층(104a)을 갖는 기판(100)을 수용한다. 이러한 공정 이전에, 반사방지 코팅 층(108)은 플루오로카본(예를 들어, CF4) 및 산소-함유 가스(예를 들어, O2)에 의해 제거되며, A-c 마스크 층(106)은 산소-함유 가스에 의해 제거된다. 아르곤은 독립적으로 챔버 내에 250 sccm 유량으로 도입된다. C4F9I는 독립적으로 챔버 내에 15 sccm으로 도입된다. O2는 최적의 에칭 조건을 결정하기 위해, 독립적으로 챔버 내에 0 내지 20 sccm으로 도입된다. 10:1 이상의 종횡비를 갖는 개구가 생성되며, 이는 DRAM에서 콘택트 홀로서 사용될 수 있다. 유사한 예는 도 1a도 3a에 도시된 바와 같이 다른 스택 층을 위해 사용될 수 있다.
규소-함유 필름 및 활성화된 요오드-함유 에칭 가스는 반응하여 휘발성 부산물을 형성하며, 이는 반응 챔버로부터 제거된다. a-C 마스크, 반사방지 코팅, 및 포토레지스트 층은 활성화된 에칭 가스와 덜 반응성이다. 이에 따라, 활성화된 에칭 가스는 규소-함유 필름과 선택적으로 반응하여 휘발성 부산물을 형성한다.
반응 챔버 내의 온도 및 압력은 규소-함유 필름이 활성화된 에칭 가스와 반응하기에 적합한 조건으로 유지된다. 예를 들어, 챔버에서의 압력은 에칭 파라미터에 의해 요망되는 바와 같이, 대략 0.1 mTorr 내지 대략 1,000 Torr, 바람직하게, 대략 1 mTorr 내지 대략 10 Torr, 더욱 바람직하게, 대략 10 mTorr 내지 대략 1 Torr, 및 더욱 바람직하게, 대략 10 mTorr 내지 대략 100 mTorr로 유지될 수 있다. 마찬가지로, 챔버에서 기판 온도는 약 대략 -196℃ 내지 대략 500℃, 바람직하게, 대략 -120℃ 내지 대략 300℃, 더욱 바람직하게, 대략 -100℃ 내지 대략 50℃; 및 더욱 바람직하게, 대략 -10℃ 내지 대략 40℃의 범위일 수 있다. 챔버 벽 온도는 공정 요건에 따라, 대략 -196℃ 내지 대략 300℃의 범위일 수 있다.
규소-함유 필름과 활성화된 에칭 가스 사이의 반응은 기판으로부터 규소-함유 필름의 이방성 제거를 야기한다. 질소, 산소 및/또는 탄소의 원자가 또한 규소-함유 필름에 존재할 수 있다. 이러한 제거는 플라즈마 이온으로부터의 규소-함유 필름의 물리적 스퍼터링(플라즈마에 의해 가속화됨)으로 인해 및/또는 Si를 휘발성 종, 예를 들어, SiFx(여기서, x는 1 내지 4의 범위임)로 전환시키기 위한 플라즈마 종의 화학적 반응에 의한 것이다.
개시된 요오드-함유 에칭 화합물의 플라즈마 활성화된 증기는 바람직하게, 마스크에 대한 높은 선택성을 나타내고, SiO 및 SiN의 교번하는 층들을 통해 에칭하여, 3D NAND 응용에서 중요한, 보우잉 또는 거칠기가 없는 수직 에칭 프로파일을 생성한다. 추가적으로, 플라즈마 활성화된 증기는 피쳐 프로파일 변형을 최소화하기 위해 측벽 상에 중합체를 증착시킨다. 다른 응용, 예를 들어, DRAM 및 2D NAND를 위하여, 예를 들어, 상이한 공정 조건 하에서의 플라즈마 활성화된 에칭 가스는 SiN으로부터 SiO를 선택적으로 에칭할 수 있다. 플라즈마 활성화된 에칭 가스는 마스크 층, 예를 들어, a-C, 포토레지스트, p-Si, 또는 탄화규소로부터; 또는 금속 콘택트 층, 예를 들어 Cu, W, Ru 등으로부터; 또는 SiGe로 이루어진 채널 영역 또는 폴리실리콘 영역으로부터 SiO 및/또는 SiN을 선택적으로 에칭할 수 있다.
개시된 요오드-함유 에칭 화합물을 에칭 가스로서 사용하는 개시된 에칭 공정은 규소-함유 필름에서, 채널 홀, 게이트 트렌치, 계단 콘택트, 커패시터 홀, 콘택트 홀, 콘택트 에칭, 슬릿 에칭, 자가-정렬된 콘택트, 자가-정렬된 비아, 수퍼 비아 등을 생성한다. 얻어진 개구는 대략 10:1 내지 대략 200:1 범위의 종횡비 및 대략 5 nm 내지 대략 500 nm 범위, 바람직하게는 100 nm 미만의 직경을 가질 수 있다. 예를 들어, 당업자는 채널 홀 에칭이 50:1보다 큰 종횡비를 갖는 개구를 규소-함유 필름에 생성함을 인지할 것이다.
에칭될 필요가 있는 전형적인 재료는 SiO일 수 있다. SiO를 에칭하는 공정은 보로포스포실리케이트유리(BPSG), 테트라에틸오르토실리케이트(TEOS), 또는 저 증착 속도 TEOS(LDTEOS)에서 트렌치를 에칭하는 것과 관련될 수 있다. 에칭 정지 층은 질화규소 또는 산질화규소(SiON) 또는 폴리실리콘일 수 있다. 사용되는 마스크 재료는 a-C, p-Si, 또는 포토레지스트 재료일 수 있다. 본원에서, 개시된 요오드-함유 에칭 화합물은 SiO, SiN, p-Si 및/또는 a-C 기판 필름을 에칭하기 위해 적용된다.
하기 실시예에서 나타내는 바와 같이, 개시된 요오드-함유 에칭 화합물로 SiO, SiN, p-Si 및 a-C 필름을 건식 에칭하는 것의 평가는, 요오드-함유 HFC가 종래 기술의 플루오로카본보다 비정질 탄소, 질화규소 및 p-Si에 대한 산화규소의 가장 높은 선택성을 산출함을 나타낸다. 높은 선택성에 대한 이유는 에칭 가스의 플라즈마 해리 동안 낮은 F/C 요오드 함유 단편의 형성에 기인할 수 있으며, 이는 기판 상에 보호 중합체 필름의 형성을 야기한다. 추가적으로, 요오드는 Ar, Kr, 및 Xe와 같은 불활성 가스와 유사한 중 I 이온과 함께 이온화되고 표면에 충돌한다. 또한 요오드 이온화된 원자는 높은 종횡비 에칭을 선호하도록 유기 하드마스크를 도핑하고 내에칭성을 개선함으로써 유기 하드마스크의 표면을 개질한다. 활성화된 요오드-함유 에칭 화합물은 요오드 이온을 생성하며, 이는 기판에 패턴화된 구조를 형성하면서, 패턴화된 마스크 층을 강화하여, 패턴화된 마스크 층을 보강하고 그의 손상을 최소화한다. 본원에서 제시된 에칭 가스 결과는 패턴화된 표면 에칭 공정 예컨대 콘택트 에칭 공정을 위해 준비되어 있을 뿐만 아니라 저-k 에칭 공정을 포함하는, 규소 또는 금속 함유 기판 상의 다른 에칭 공정을 위해 유익할 수 있음을 나타낸다. 또한, 개시된 요오드-함유 에칭 화합물로 에칭하여 패턴화된 구조를 형성하는 공정에서, 패턴 마스크 층의 표면에 요오드를 도핑하여 표면을 개질함으로써 패턴 마스크 층의 내에칭성이 증가될 수 있다. 이 경우에, 기판으로부터 규소-함유 필름을 에칭하여 패턴화된 구조를 형성하면서 활성화된 요오드-함유 에칭 화합물로부터의 요오드 이온이 패턴화된 마스크 층(즉, 패턴화된 마스크 층의 표면 및/또는 본체/벌크) 내로 주입된다. 게다가, 개시된 요오드-함유 에칭 화합물은 퍼플루오로카본 화합물에 의해 야기되는 지구 온난화 지수의 감소에 적합하다.
실시예
본 발명의 실시 형태를 추가로 예시하기 위해 하기 비제한적인 실시예가 제공된다. 그러나, 실시예는 모든 것을 포괄하도록 의도된 것이 아니며, 본원에 기술된 본 발명의 범위를 제한하도록 의도된 것도 아니다.
하기 실시예에서, 시판 LAM tool 4520XLe 200mm(CCP 이중 주파수 플라즈마) 또는 대안적으로 시판 AMEC 300mm Primo SSC HD-RIE 에칭기를 사용하여 실험을 수행하였다. 평면 웨이퍼는 Advantive Tech로부터 구매하였다. 시험한 평면 웨이퍼는 아래의 4개의 상이한 기판이다.
2um PECVD TEOS (SiO) 온 Si 기판;
2um PECVD Si3N4 (SiN) 온 Si 기판;
300 nm LPCVD 폴리실리콘(폴리-Si) 온 Si 기판; 및
PECVD 비정질 탄소(a-C) 온 Si 기판.
평면 시험의 경우, 엘립소미터 및/또는 주사 전자 현미경(SEM)을 이용하여 에칭 시간의 함수로서 에칭 두께의 변화를 측정함으로써 에칭 속도(ER)를 측정하였다. 상기에 열거된 SiO, SiN, p-Si 및 a-C를 포함하는 4개의 상이한 기판 재료를 갖는 4개의 1.5 x1.5 cm2 쿠폰에 대해 에칭 실험을 수행하였다. 쿠폰을 200 mm 직경의 캐리어 웨이퍼 상에 배치하고, 실리콘 오일 또는 열 페이스트를 사용하여 접촉된 상태로 유지하였다. 대안적으로, 2 spi 제조업체로부터 입수한 양면 카본 테이프를 사용하여 쿠폰을 캐리어 웨이퍼 상에 붙였을 수 있다.
30 mTorr의 압력, 750 W(27 MHz)의 소스 전력, 1500 W(2 MHz)의 바이어스 전력 및 20℃의 온도에서 에칭 시험을 수행하였다. 공급 혼합물은 250 sccm의 Ar, 15 sccm의 에칭 가스를 함유하는 반면에, O2는 0 내지 20 sccm으로 다양하였다.
반복성을 입증하기 위해, 각각의 에칭 시험을 적어도 3회 반복하였다. 3회 측정의 평균의 표준 편차가 차트에서 오차 막대로 표시되어 있다. 후속하여, 중합체 조성물을 X-선 광전자 분광법(XPS)에 의해 연구하였다.
비교예
도 4는 1-C3F7I(CAS 번호 754-34-7), O2 및 Ar을 사용한 SiO, SiN, p-Si 및 a-C의 에칭 속도를 나타내는 그래프이다. 도 4에서, y-축은 에칭 속도를 나타내고; x-축은 sccm 단위의 O2 유량이고; 1-C3F7I 유량은 15 sccm로 고정되고, Ar은 250 sccm로 고정되는 반면, O2 유량은 0 내지 20 sccm에서 다양하다. O2 유량이 0에서 20까지 상승함에 따라, 반비례 추세가 관찰된다: O2 유량이 증가함에 따라 SiO2 ER은 감소하는 반면, O2 유량이 증가함에 따라 SiN, p-Si 및 a-C ER은 증가한다. 따라서 가장 높은 선택성(SiO의 ER과 다른 재료의 ER 속도 사이의 비를 의미함)은 산소가 유동하지 않을 때 나타난다. 0 sccm의 O2에서, SiO2/a-C 선택성은 38.5이고, SiO2/p-Si 선택성은 22이고, SiO2/SiN 선택성은 11이다.
도 5는 C3F7I의 화학종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타낸 그래프이다. 10 내지 20 eV에서 생성된 지배적인 단편은 CF3, C3F7, 및 I이다.
실시예 1
도 6은 1-C4F9I, O2 및 Ar을 사용한 SiO, SiN, p-Si 및 a-C의 에칭 속도를 나타내는 그래프이다. 도 6에서, y-축은 에칭 속도를 나타내고; x-축은 sccm 단위의 O2 유량이고; 1-C4F9I 유량은 15 sccm로 고정되고, Ar은 250 sccm로 고정되는 반면, O2 유량은 0 내지 20 sccm에서 다양하다.
O2 유량이 0에서 20까지 상승함에 따라, 반비례 추세가 관찰된다: O2 유량이 증가함에 따라 SiO2 ER은 감소하는 반면, O2 유량이 증가함에 따라 SiN, p-Si 및 a-C ER은 증가한다. 따라서 가장 높은 선택성(SiO의 ER과 다른 재료의 ER 속도 사이의 비를 의미함)은 산소가 유동하지 않을 때 나타난다. 0 sccm의 O2에서, SiO2/a-C 선택성은 71이고, SiO2/p-Si 선택성은 47이고, SiO2/SiN 선택성은 17이다. 도 4에 나타나 있는 1-C3F7I의 결과와 비교하여, 1-C4F9I는 SiO2 ER 및 시험한 다른 모든 재료에 대한 SiO2의 선택성 모두에서 1-C3F7I보다 우수하다.
요오드의 첨가는 C-I의 더 약한 결합으로 인해 1-C3F7I 및 1-C4F9I 둘 모두에 대해 증가된 단편화를 제공한다. 표면에 충돌하는 요오드 이온의 이온화 임계값이 낮을수록, a-C, 폴리-Si 또는 SiN에 대비하여 산화물(SiO)을 에칭하는 데 대해 증가된 선택성을 산출한다. 표 3은 업계에서 보통 사용되는 벤치마크 표준 에칭 가스 cC4F8 및 C4F6과의 비교이다. 1-C4F9I는 벤치마크 가스(cC4F8 및 C4F6)보다 각각 약 88% 및 85% 더 높고 1-C3F7I보다 약 46% 더 높은 SiO2/a-C 선택성을 나타낸다. 1-C4F9I는 벤치마크 가스(cC4F8 및 C4F6)보다 각각 8.6% 및 16.4% 더 높고 1-C3F7I보다 9% 더 높은 SiO2 ER을 나타낸다. 도 10도 11은 각각 cC4F8 및 C4F6을 사용한 SiO, SiN, p-Si 및 a-C의 에칭 속도를 나타내는 그래프이다.
[표 3]
Figure pct00162
Figure pct00163
실시예 2
도펀트를 갖거나 갖지 않는 상이한 a-C 마스크 층 상의 1-C4F9I 에칭 후 XPS 데이터 분석.
75° 샘플 틸팅에서 분해된 XPS 각도와 깊이 프로파일을 수행하여 a-C 마스크에서 중합체 조성을 분석하고 SiO2 기판으로 벤치마킹하였다.
패턴의 플라즈마 처리 에칭 동안 상부 표면과 측벽에서 발생하는 중합체 층 형성을 시뮬레이션하기 위해 공정 조건을 조정하였다: a-C 마스크, 붕소-도핑된 a-C [a-C(B)] 및 텅스텐-도핑된 a-C [a-C(W)] 및 SiO2 (TEOS) 쿠폰을 기판에서 60 sec 동안 30 mTorr, 소스 전력 750 W (27 MHz), 및 바이어스 전력 1500W (2MHz)로 에칭하였다. 공정 공급 혼합물은 125 sccm의 Ar, 30 sccm의 1-C4F9I를 함유하고, O2를 함유하지 않는다. 표면에 도달하는 중성자 및 활성 화학종은 부착 계수에 기초하여 표면에 부착하며 얇은 중합체 층을 증착시킨다. 이 얇은 중합체 층은 측벽 패시베이션을 담당할 수 있고 보통 선택성을 제공한다. 표 4 내지 표 6은 1-C4F9I 에칭 후 상이한 a-C 층 상의 75°에서 분해된 XPS 각도이다.
[표 4] a-C 상의 75°에서 분해된 XPS 각도
Figure pct00164
[표 5] a-C(B) 상의 75°에서 분해된 XPS 각도
Figure pct00165
Figure pct00166
[표 6] a-C(W) 상의 75°에서 분해된 XPS 각도
Figure pct00167
3가지 상이한 a-C 마스크[a-C, a-C(B) 및 a-C(W)]에서 요오드의 표면 원자 농도는 비슷하다: 약 2%.
XPS 깊이 프로파일의 경우, 플루오로카본 중합체 또는 비정질 탄소와 같은 유기 재료 스퍼터링 동안 일어날 가능성이 큰, 아르곤 스퍼터링 동안의 요오드 원자의 잠재적인 노크-온(knock-on)을 피하고 화학적 손상을 최소화하기 위해 5 keV 이온 에너지에서 큰 클러스터 이온 가스 Ar1000 +를 단원자 Ar+ 대신에 사용하였다.
a-C 기판 상의 깊이 프로파일: 표면 원자 농도(%)는 표 7도 7에 열거되어 있다. a-C(B) 기판 상의 깊이 프로파일: 표면 원자 농도(%)는 표 8도 8에 열거되어 있다. a-C(W) 기판 상의 깊이 프로파일: 표면 원자 농도(%)는 표 9도 9에 열거되어 있다. SiO2 기판 상의 1-C4F9I 에칭 후의 표면 원자 농도는 표 10에 열거되어 있다.
[표 7]
Figure pct00168
[표 8]
Figure pct00169
[표 9]
Figure pct00170
[표 10]
Figure pct00171
개시된 요오드-함유 에칭 화합물은 Si 기반 재료의 에칭과 비교하여 a-C 마스크 에칭 동안 흥미로운 거동을 보여준다. XPS 데이터에 따르면, 요오드는 도핑 효과와 유사하게 a-C 마스크에 깊숙이 침투하여, 에칭 동안 이를 보존하는 데 도움이 된다.
Figure pct00172
요오드는 a-C, a-C(B) 및 a-C(W) 모두에서 표면에서는 대략 2%로 검출되었고 더 깊은 벌크에서는 더 낮은 양으로 검출되었다;
Figure pct00173
표면의 CxFyIz (x ≤ 4, y ≤ 9, z ≤ 1) 중합체가 a-C 마스크 모두에서 검출되었다 (최대 50 sec 에칭);
Figure pct00174
상이한 a-C 마스크에서 요오드 vs 불소 침투는 다음과 같았다:
Figure pct00175
a-C에서: I는 F보다 더 깊게 침투하고 (각각 410 vs 450 sec),
Figure pct00176
a-C (W)에서: I는 F보다 더 깊게 침투하고 (각각 90 vs 45 sec),
Figure pct00177
a-C (B)에서: F는 I보다 더 깊게 침투하였다 (각각 210 vs 90 sec);
Figure pct00178
비교하여, 요오드는 SiO2 기판에서 거의 검출되지 않았으며(0.3%), 매우 얇은 FC 중합체만 형성되었다.
이 실시예는, 요오드 이온이 CxFyIz 원자로 구성된 중합체 층을 증착함으로써 패턴 마스크의 표면을 개질할 뿐만 아니라 요오드 이온이 패턴 마스크 층의 벌크 내로 깊숙이 침투함을 보여준다. 에칭 후 패턴 마스크의 조성에 관한 XPS 데이터를 실시예 1에서 나타난 에칭 데이터와 연관지어, 요오다이드 이온의 영향을 관찰할 수 있다. 요오드-함유 에칭 화합물은 유기층과의 가교결합을 증가시켜 패턴 마스크 층을 보존하고 패턴 마스크를 더 강하게 만들고 내에칭성을 증가시키는 데 도움을 준다.
본원에 기술된 주제는 사용자-대화형 구성요소를 갖는 컴퓨팅 애플리케이션에 대한 하나 이상의 컴퓨팅 애플리케이션 특징/동작을 처리하기 위한 예시적인 구현예의 맥락에서 설명될 수 있지만, 주제는 이러한 특정 실시 형태로 제한되지 않는다. 오히려, 본원에 기술된 기술은 임의의 적합한 유형의 사용자-대화형 구성요소 실행 관리 방법, 시스템, 플랫폼 및/또는 장치에 적용될 수 있다.
본 발명의 본질을 설명하기 위해 본원에서 설명되고 예시된 세부 사항, 물질, 단계, 및 부품 배열의 다수의 추가적인 변경이 첨부된 청구범위에 나타나 있는 바와 같은 본 발명의 원리 및 범위 내에서 당업자에 의해 이루어질 수 있음이 이해될 것이다. 따라서, 본 발명은 전술한 실시예 및/또는 첨부 도면의 특정 실시 형태에 한정되는 것은 아니다.
본 발명의 실시 형태가 제시되고 설명되었지만, 본 발명의 사상 또는 교시를 벗어남이 없이, 당업자에 의해 이들의 수정이 이루어질 수 있다. 본원에 기술된 실시형태는 단지 예시적이며 비제한적이다. 조성물 및 방법의 많은 변형 및 수정이 가능하며 본 발명의 범위 내에 있다. 따라서, 보호 범위는 본원에 기술된 실시 형태에 한정되는 것이 아니라, 다음의 청구범위에 의해서만 제한되며, 그 범위는 청구범위의 주제에 대한 모든 균등물을 포함한다.

Claims (20)

  1. 패턴화된 구조를 형성하는 방법으로서,
    기판 상에 배치된 규소-함유 필름 및 규소-함유 층 상에 배치된 패턴화된 마스크 층을 갖는 기판을 수용하는 반응 챔버 내로 화학식 CnHxFyIz(여기서, 4 ≤ n ≤ 10, 0 ≤ x ≤ 21, 0 ≤ y ≤ 21, 및 1 ≤ z ≤ 4임)를 갖는 요오드-함유 에칭 화합물의 증기를 도입하는 단계;
    플라즈마를 활성화시켜 활성화된 요오드-함유 에칭 화합물을 생성하는 단계; 및
    활성화된 요오드-함유 에칭 화합물과 규소-함유 필름 사이에서 에칭 반응을 진행시켜 패턴화된 마스크 층으로부터 규소-함유 필름을 선택적으로 에칭하여, 패턴화된 구조를 형성하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 반응 챔버 내로 산화제를 도입하는 단계를 추가로 포함하며, 산화제는 O2, O3, CO, CO2, NO, N2O, NO2, H2O, H2O2, COS, SO2 및 이들의 조합으로부터 선택되는, 방법.
  3. 제1항에 있어서, 반응 챔버 내로 불활성 가스를 도입하는 단계를 추가로 포함하며, 불활성 가스는 He, Ar, Xe, Kr, Ne 및 N2로 이루어진 군으로부터 선택되는, 방법.
  4. 제1항에 있어서, 요오드-함유 에칭 화합물은 C4F9I 또는 이의 이성질체인, 방법.
  5. 제1항에 있어서, 규소-함유 필름은 산화규소(SiO), 질화규소(SiN), 결정질 Si, 폴리-규소(p-Si), 다결정질 규소, 비정질 규소, 저-k SiCOH, SiOCN, SiC, SiON 및 SiaObHcCdNe(여기서, a>0; b, c, d 및 e ≥ 0임)의 층, 교번하는 SiO 및 SiN (ONON) 층, 교번하는 SiO 및 p-Si (OPOP) 층을 포함하는, 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 규소-함유 필름은 선택적으로 도펀트, 예컨대 B, C, P, As, Ga, In, Sn, Sb, Bi 및/또는 Ge, 및 이들의 조합을 함유하는, 방법.
  7. 제1항 내지 제5항 중 어느 한 항에 있어서, 요오드-함유 에칭 화합물을 사용하여 산화규소 층을 에칭하는 에칭 속도는 대안적인 에칭 가스로서 cC4F8, C4F6 CF4, CH3F, CF3H, CH2F2, 및 이들의 조합을 사용하여 산화규소 층을 에칭하는 에칭 속도보다 높은, 방법.
  8. 제1항 내지 제5항 중 어느 한 항에 있어서, 요오드-함유 에칭 화합물 플라즈마는 SiO 층 대 SiN 층에 대해 대략 1:2 내지 대략 2:1의 선택성을 가지고 교번하는 SiO 및 SiN (ONON) 층을 에칭하는, 방법.
  9. 제1항 내지 제5항 중 어느 한 항에 있어서, 요오드-함유 에칭 화합물 플라즈마는 SiO 층 대 SiN 층에 대해 대략 1:1의 선택성을 가지고 교번하는 SiO 및 SiN (ONON) 층을 에칭하는, 방법.
  10. 제1항 내지 제5항 중 어느 한 항에 있어서, 요오드-함유 에칭 화합물 플라즈마는 SiO 층 대 p-Si 층에 대해 대략 1:2 내지 대략 2:1의 선택성을 가지고 교번하는 SiO 및 p-Si (OPOP) 층을 에칭하는, 방법.
  11. 제1항 내지 제5항 중 어느 한 항에 있어서, 요오드-함유 에칭 화합물 플라즈마는 SiO 층 대 p-Si 층에 대해 대략 1:1의 선택성을 가지고 교번하는 SiO 및 p-Si (OPOP) 층을 에칭하는, 방법.
  12. 제1항 내지 제5항 중 어느 한 항에 있어서, 패턴화된 마스크 층은 비정질 탄소 층, 도핑된 비정질 탄소 층, 포토레지스트 층, 반사 방지 층, 유기 평탄화 층, 및 이들의 조합인, 방법.
  13. 제1항에 있어서, 활성화된 요오드-함유 에칭 화합물은 에칭될 규소-함유 필름의 하부에 위치된 랜딩(landing) 층으로부터 규소-함유 필름을 선택적으로 에칭하는, 방법.
  14. 제1항 또는 제13항에 있어서, 랜딩 층은 W, Cu, Al, Ru, Pt, Ti, Ta, Ni, Co, Mo, Mn, Nb, Cr, Rh, Pd, Ir, V, Au, Ag 또는 이들의 조합으로부터 선택되는 금속 층인, 방법.
  15. 제1항 또는 제13항에 있어서, 랜딩 층은 AlO, WO, HfO, TiO, TaO, InO, WO, CrO, RuO, CoO, MoO, ZrO, SnO, TiN, TaN, HfN, AlN, WN, MoN, NiN, NbN, CrN, RuN, CoN, ZrN, SrN 또는 이들의 조합으로부터 선택되는 금속 산화물 층 또는 금속 질화물 층인, 방법.
  16. 제1항 내지 제5항 중 어느 한 항에 있어서, 규소-함유 필름에 형성된 패턴화된 구조는 종횡비가 대략 1:1 내지 대략 200:1인, 방법.
  17. 기판에 패턴화된 구조를 형성하면서 패턴화된 마스크 층을 보강하고/하거나 강화하고/하거나 그의 손상을 최소화하는 방법으로서,
    기판 상에 배치된 규소-함유 필름 및 규소-함유 층 상에 배치된 패턴화된 마스크 층을 갖는 기판을 수용하는 반응 챔버 내로 화학식 CnHxFyIz(여기서, 4 ≤ n ≤ 10, 0 ≤ x ≤ 21, 0 ≤ y ≤ 21, 및 1 ≤ z ≤ 4임)를 갖는 요오드-함유 에칭 화합물의 증기를 도입하는 단계; 및
    플라즈마를 활성화시켜 형성된 활성화된 요오드-함유 에칭 화합물을 사용하여 패턴화된 마스크 층으로부터 규소-함유 필름을 에칭하여 패턴화된 구조를 형성하는 단계를 포함하며,
    활성화된 요오드-함유 에칭 화합물은 요오드 이온을 생성하고, 이는 패턴화된 하드마스크 층에 주입되어, 패턴화된 마스크 층을 강화하는, 방법.
  18. 제17항에 있어서, 요오드-함유 에칭 화합물은 C4F9I 또는 이의 이성질체인, 방법.
  19. 기판에 패턴화된 구조를 형성하는 공정에서 패턴화된 마스크 층의 내에칭성을 증가시키는 방법으로서,
    기판 상에 배치된 규소-함유 필름 및 규소-함유 층 상에 배치된 패턴화된 마스크 층을 갖는 기판을 수용하는 반응 챔버 내로 화학식 CnHxFyIz(여기서, 4 ≤ n ≤ 10, 0 ≤ x ≤ 21, 0 ≤ y ≤ 21, 및 1 ≤ z ≤ 4임)를 갖는 요오드-함유 에칭 화합물의 증기를 도입하는 단계;
    플라즈마를 활성화시켜, 패턴화된 마스크 층 내로 요오드를 도핑할 수 있는 활성화된 요오드-함유 에칭 화합물을 생성하는 단계; 및
    패턴화된 마스크 층으로부터 규소-함유 필름을 에칭하여 패턴화된 구조를 형성하면서 활성화된 요오드-함유 에칭 화합물로부터의 요오드 이온을 패턴화된 마스크 층 내로 주입하여,
    패턴화된 마스크 층을 요오드로 개질하여 패턴화된 마스크 층의 내에칭성을 증가시키는 단계를 포함하는, 방법.
  20. 제19항에 있어서, 요오드-함유 에칭 화합물은 C4F9I 또는 이의 이성질체인, 방법.
KR1020237001313A 2020-06-26 2021-06-23 반도체 구조를 에칭하기 위한 요오드-함유 플루오로카본 및 히드로플루오로카본 화합물 KR20230019981A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/913,696 2020-06-26
US16/913,696 US11798811B2 (en) 2020-06-26 2020-06-26 Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
PCT/US2021/038668 WO2021262841A1 (en) 2020-06-26 2021-06-23 Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures

Publications (1)

Publication Number Publication Date
KR20230019981A true KR20230019981A (ko) 2023-02-09

Family

ID=79031416

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237001313A KR20230019981A (ko) 2020-06-26 2021-06-23 반도체 구조를 에칭하기 위한 요오드-함유 플루오로카본 및 히드로플루오로카본 화합물

Country Status (7)

Country Link
US (1) US11798811B2 (ko)
EP (1) EP4189727A1 (ko)
JP (1) JP2023531687A (ko)
KR (1) KR20230019981A (ko)
CN (1) CN116134981A (ko)
TW (1) TW202204297A (ko)
WO (1) WO2021262841A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7507146B2 (ja) * 2018-08-24 2024-06-27 ラム リサーチ コーポレーション 高アスペクト比エッチングのための金属含有パシベーション
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
JP2022144220A (ja) * 2021-03-18 2022-10-03 キオクシア株式会社 半導体装置の製造方法およびエッチング方法
WO2023244214A1 (en) * 2022-06-14 2023-12-21 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Sidewall passivation layers and method of forming the same during high aspect ratio plasma etching

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3559691B2 (ja) 1997-09-04 2004-09-02 株式会社日立製作所 半導体装置の製造方法
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
JP5407101B2 (ja) * 2000-09-07 2014-02-05 ダイキン工業株式会社 ドライエッチングガスおよびドライエッチング方法
JP4761502B2 (ja) 2004-10-07 2011-08-31 株式会社アルバック 層間絶縁膜のドライエッチング方法
TW200735206A (en) 2006-03-10 2007-09-16 Philtech Inc Method of dry etching of interlayer insulation film and etching device
TWI437633B (zh) 2006-05-24 2014-05-11 Ulvac Inc Dry etching method for interlayer insulating film
US20080191163A1 (en) 2007-02-09 2008-08-14 Mocella Michael T Laser-Assisted Etching Using Gas Compositions Comprising Unsaturated Fluorocarbons
WO2009019219A2 (en) 2007-08-03 2009-02-12 Solvay (Société Anonyme) Methods of using a solvent or a foam blowing agent
US20110136345A1 (en) * 2008-07-15 2011-06-09 Solvay Fluor Gmbh Process for the Manufacture of Etched Items
WO2011031860A1 (en) * 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
US8603921B2 (en) * 2011-07-25 2013-12-10 Applied Materials, Inc. Maintaining mask integrity to form openings in wafers
US8963156B2 (en) * 2013-02-22 2015-02-24 Micron Technology, Inc. Semiconductor devices including WiSX
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10453751B2 (en) * 2017-02-14 2019-10-22 Globalfoundries Inc. Tone inversion method and structure for selective contact via patterning
KR102623767B1 (ko) * 2017-09-01 2024-01-10 도쿄엘렉트론가부시키가이샤 에칭 방법
KR102165039B1 (ko) * 2019-10-31 2020-10-14 성균관대학교산학협력단 건식 식각 방법 및 이에 사용되는 식각 전구체
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching

Also Published As

Publication number Publication date
CN116134981A (zh) 2023-05-16
JP2023531687A (ja) 2023-07-25
TW202204297A (zh) 2022-02-01
US20210407817A1 (en) 2021-12-30
WO2021262841A1 (en) 2021-12-30
WO2021262841A8 (en) 2022-12-29
US11798811B2 (en) 2023-10-24
EP4189727A1 (en) 2023-06-07

Similar Documents

Publication Publication Date Title
US11430663B2 (en) Iodine-containing compounds for etching semiconductor structures
US10256109B2 (en) Nitrogen-containing compounds for etching semiconductor structures
US11798811B2 (en) Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
JP2024500969A (ja) 高導電性パッシベーション層及び高アスペクト比プラズマエッチング中にそれを形成する方法
TWI846218B (zh) 用於蝕刻半導體結構的含氧和碘的氫氟烴化合物
US20230197465A1 (en) Oxygen and iodine-containing hydrofluorocarbon compound for etching semiconductor structures
KR20240074888A (ko) 규소-함유 히드로플루오로카본을 사용한 에칭 방법

Legal Events

Date Code Title Description
A201 Request for examination