CN110178206B - 用于蚀刻半导体结构的含碘化合物 - Google Patents

用于蚀刻半导体结构的含碘化合物 Download PDF

Info

Publication number
CN110178206B
CN110178206B CN201780081811.0A CN201780081811A CN110178206B CN 110178206 B CN110178206 B CN 110178206B CN 201780081811 A CN201780081811 A CN 201780081811A CN 110178206 B CN110178206 B CN 110178206B
Authority
CN
China
Prior art keywords
iodine
etching
silicon
layer
compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780081811.0A
Other languages
English (en)
Other versions
CN110178206A (zh
Inventor
维贾伊·苏尔拉
拉胡尔·古普塔
孙卉
文卡特斯瓦拉·R·帕伦姆
南森·斯塔福德
法布里齐奥·马切吉亚尼
文森特·M·欧马杰
詹姆斯·罗耶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority to CN202311017020.5A priority Critical patent/CN116884838A/zh
Publication of CN110178206A publication Critical patent/CN110178206A/zh
Application granted granted Critical
Publication of CN110178206B publication Critical patent/CN110178206B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

披露了一种用于蚀刻含硅膜的方法。该方法包括以下步骤:将含碘蚀刻化合物的蒸气引入基板上含有含硅膜的反应腔室中,其中该含碘蚀刻化合物具有式CaHxFyIz,其中a=1‑3,x=0‑6,y=1‑7,z=1‑2,当a=1时x+y+z=4,当a=2时x+y+z=4或6,并且当a=3时x+y+z=6或8;将惰性气体引入该反应腔室中;以及活化等离子体以产生能够从该基板蚀刻该含硅膜的经活化的含碘蚀刻化合物。

Description

用于蚀刻半导体结构的含碘化合物
相关申请的交叉引用
本申请要求于2016年12月30日提交的美国申请号15/396,220的权益,出于所有的目的将所述申请通过引用以其全文结合在此。
技术领域
披露了用于蚀刻含硅膜的方法。该方法包括以下步骤:将含碘蚀刻化合物的蒸气引入基板上含有含硅膜的反应腔室中。该含碘蚀刻化合物具有式CaHxFyIz,其中a=1-3,x=0-6,y=1-7,z=1-2,当a=1时x+y+z=4,当a=2时x+y+z=4或6,并且当a=3时x+y+z=6或8。优选地,该含碘蚀刻化合物是CF2I2、C2F3I、C2H2FI、C2H3F2I、C2H4FI、C2F4I2、C3F5I、C3HF4I、C3H2F3I、C3HF6I、C3H2F5I、C3H3F4I、C3H4F3I、C3HF4I、C3H2F3I、C3H2F5I、C3H3F4I、以及C3H4F3I;或其组合。将惰性气体引入该反应腔室中。活化等离子体以产生能够从该基板蚀刻该含硅膜的经活化的含碘蚀刻化合物。
背景技术
在半导体工业中的存储器应用中,等离子体蚀刻从半导体基板中移除含硅膜,诸如氧化硅层或氮化硅层。DRAM和2D NAND是示例性的存储器应用。对于3D NAND,对多个SiO/SiN或SiO/多晶硅(p-Si)层的堆叠进行蚀刻是关键的。参见例如,三星电子有限公司(Samsung Electronics Co.,Ltd)的US 2011/0180941。在掩模与正被蚀刻的层之间具有高选择性的蚀刻剂是必不可少的。此外,经蚀刻的结构应该具有直的垂直轮廓而无弯曲以及低的线边缘粗糙度(LER)。
传统的蚀刻气体包括八氟环丁烷(cC4F8)、六氟-1,3-丁二烯(C4F6)、CF4、CH2F2、CH3F、和/或CHF3。众所周知的是选择性和聚合物沉积速率随着C:F的比率增加而增加(即C4F6>C4F8>CF4)。参见例如,Hung等人的US 6387287。另外,其他气体诸如惰性气体像Ar、Kr或Xe被添加到等离子体中,在该等离子体中它们被电离并加速到晶片表面,从而轰击该表面并支持蚀刻工艺。因为它们是惰性气体,所以它们不直接参与蚀刻工艺的化学反应。
然而,至少由于在等离子体蚀刻工艺期间在侧壁上不足够的抗蚀刻聚合物沉积,传统的蚀刻化学过程可能不能够提供具有高于20:1的纵横比的单元(feature),诸如孔洞或沟槽,该特征在较新的应用(例如3D NAND)中是必要的。侧壁-CxFy-聚合物可能易于蚀刻,其中x在从0.01至1的范围内并且y在从0.01至4的范围内。其结果是,经蚀刻的图案可能不是垂直的,并且蚀刻结构可能示出弯曲、尺寸变化、图案坍塌和/或增加的粗糙度。
弯曲可能由掩模层的侧壁蚀刻产生,该掩模层可经常是无定形碳(a-C)材料。a-C材料可能被等离子体中的氧自由基蚀刻,这可能引起增加的掩模开口并导致弯曲状、或成角度的/曲线的蚀刻结构。
含碘化合物已被用作蚀刻气体。例如,Chung(美国专利号9,460,935)披露了在尤其使用1,1,2,2-四氟-1-碘-乙烷产生的等离子体下蚀刻第一蚀刻层和第二蚀刻层。还参见Karecki等人,Plasma etching of dielectric films with novel iodofluorocarbonchemistries:iodotrifluoroethylene and1-iodoheptafluoropropane[用新颖的碘氟碳化学物质:碘三氟乙烯和1-碘七氟丙烷等离子体蚀刻介电膜],J.Vac.Sci.Technol.[真空科学与技术学报]A16,755(1998);真空技术株式会社(Ulvac)的JP 2006/108484;真空技术株式会社的TWI343601。
重要的是使弯曲最小化并实现当前应用(例如,接触蚀刻或3D NAND)需要的高纵横比(即,最高达200:1)。此外,现今的蚀刻已不限于对光致抗蚀剂掩模的选择性。同样重要的是在其他材料诸如a-C、SiN、p-Si、SiC或其他形式的SiaCbOcHdNe材料(其中a>0;b、c、d和e≥0)之中获得高选择性。
因此,依然需要适用于等离子体蚀刻应用中的蚀刻气体组合物,这些组合物维持对于宽范围的工艺条件的选择性和高纵横比。
发明内容
披露了用于等离子体蚀刻含硅膜的方法。该方法包括以下步骤:将含碘蚀刻化合物的蒸气引入基板上含有含硅膜的反应腔室中,其中该含碘蚀刻化合物具有式CaHxFyIz,其中a=1-3,x=0-6,y=1-7,z=1-2,当a=1时x+y+z=4,当a=2时x+y+z=4或6,并且当a=3时x+y+z=6或8;将惰性气体引入该反应腔室中;以及活化等离子体以产生能够从该基板蚀刻该含硅膜的经活化的含碘蚀刻化合物。所披露的方法可以包括以下方面中的一项或多项:
·该含碘蚀刻化合物具有式CHxFyIz,其中x是0-2,y是1-3,z是1-2,并且x+y+z=4;
·该含碘蚀刻化合物是CF3I;
·该含碘蚀刻化合物是CF2I2
·该含碘蚀刻化合物具有式CHxFyIz,其中x是1-2,y是1-2,z是1-2,并且x+y+z=4;
·该含碘蚀刻化合物是CHF2I;
·该含碘蚀刻化合物是CH2FI;
·该含碘蚀刻化合物是CHFI2
·该含碘蚀刻化合物具有式C2HxFyIz,其中x是0-2,y是1-3,z是1-2,并且x+y+z=4;
·该含碘蚀刻化合物是C2F3I并且具有以下结构:
·该含碘蚀刻化合物具有式C2HxFyIz,其中x是1-2,y是1-2,z是1-2,并且x+y+z=4;
·该含碘蚀刻化合物是C2HF2I并且具有以下结构:
·该含碘蚀刻化合物是C2HF2I并且具有以下结构:
·该含碘蚀刻化合物是C2H2FI并且具有以下结构:
·该含碘蚀刻化合物是C2H2FI并且具有以下结构:
·该含碘蚀刻化合物具有式C2HxFyIz,其中x是0-4,y是1-5,z是1-2,并且x+y+z=6;
·该含碘蚀刻化合物是C2H5I并且具有以下结构:
·该含碘蚀刻化合物具有式C2HxFyIz,其中x是1-4,y是1-4,z是1-2,并且x+y+z=6;
·该含碘蚀刻化合物是C2HF4I并且具有以下结构:
·该含碘蚀刻化合物是C2HF4I并且具有以下结构:
·该含碘蚀刻化合物是C2H2F3I并且具有以下结构:
·该含碘蚀刻化合物是C2H2F3I并且具有以下结构:
·该含碘蚀刻化合物是C2H2F3I并且具有以下结构:
·该含碘蚀刻化合物是C2H3F2I并且具有以下结构:
·该含碘蚀刻化合物是C2H3F2I并且具有以下结构:
·该含碘蚀刻化合物是C2H4FI并且具有以下结构:
·该含碘蚀刻化合物是C2H4FI并且具有以下结构:
·该含碘蚀刻化合物是C2F4I2并且具有以下结构:
·该含碘蚀刻化合物是C2F4I2并且具有以下结构:
·该含碘蚀刻化合物具有式C3HxFyIz,其中x是0-4,y是1-5,z是1-2,并且x+y+z=6;
·该含碘蚀刻化合物是C3F5I并且具有以下结构:
·该含碘蚀刻化合物具有式C3HxFyIz,其中x是1-4,y是1-4,z是1-2,并且x+y+z=6;
·该含碘蚀刻化合物是C3HF4I并且具有以下结构:
·该含碘蚀刻化合物是C3HF4I并且具有以下结构:
·该含碘蚀刻化合物是C3HF4I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F3I并且具有以下结构:
·该含碘蚀刻化合物具有式C3HxFyIz,其中x是0-6,y是1-7,z是1-2,并且x+y+z=8;
·该含碘蚀刻化合物是C3F7I并且具有以下结构:
·该含碘蚀刻化合物是C3F7I并且具有以下结构:
·该含碘蚀刻化合物具有式C3HxFyIz,其中x是1-6,y是1-6,z是1-2,并且x+y+z=8;
·该含碘蚀刻化合物是C3HF6I并且具有以下结构:
·该含碘蚀刻化合物是C3HF6I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F5I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F5I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F5I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F5I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F5I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H4F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H4F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H4F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H4F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H4F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H4F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H4F3I并且具有以下结构:
·该经活化的含碘蚀刻化合物与该含硅膜反应以形成挥发性副产物;
·将这些挥发性副产物从该反应腔室中移除;
·该惰性气体选自由He、Ar、Xe、Kr和Ne组成的组;
·该惰性气体是Ar;
·在引入该反应腔室中之前将该含碘蚀刻化合物的蒸气和该惰性气体混合以产生混合物;
·将该含碘蚀刻化合物的蒸气与该惰性气体分开地引入该反应腔室中;
·将该惰性气体连续地引入该反应腔室中并且将该含碘蚀刻化合物的蒸气以脉冲形式引入该反应腔室中;
·该惰性气体占引入该反应腔室中的该含碘蚀刻化合物的蒸气和惰性气体的总体积的大约0.01%v/v至大约99.9%v/v;
·该惰性气体占引入该反应腔室中的该含碘蚀刻化合物的蒸气和惰性气体的总体积的大约90%v/v至大约99%v/v;
·将氧化剂引入该反应腔室中;
·不将氧化剂引入该反应腔室中;
●该氧化剂选自由O2、CO、CO2、NO、N2O、以及NO2组成的组;
·该氧化剂是O2
·在引入该反应腔室中之前将该含碘蚀刻化合物的蒸气和该氧化剂混合;
·将该含碘蚀刻化合物的蒸气与该氧化剂分开地引入该反应腔室中;
·将该氧化剂连续地引入该反应腔室中并且将该含碘蚀刻化合物的蒸气以脉冲形式引入该反应腔室中;
·该氧化剂占引入该反应腔室中的该含碘蚀刻化合物的蒸气和氧化剂的总体积的大约0.01%v/v至大约99.9%v/v;
·该氧化剂占引入该反应腔室中的该含碘蚀刻化合物的蒸气和氧化剂的总体积的大约0.01%v/v至大约10%v/v;
·该含硅膜包含以下项的层:氧化硅、氮化硅、多晶硅、结晶硅、SiON、SiOCH、SiaObCcNdHe(其中a>0;b、c、d和e≥0)或其组合;
·该含硅膜包含氧原子、氮原子、碳原子、氢原子或其组合;
·该含硅膜包含碳化硅;
·该含硅膜被选择性地从a-C层蚀刻;
·该含硅膜被选择性地从光致抗蚀剂层蚀刻;
·该含硅膜被选择性地从氮化硅层蚀刻;
·该含硅膜被选择性地从多晶硅层蚀刻;
·该含硅膜被选择性地从结晶硅层蚀刻;
·该含硅膜被选择性地从金属接触层蚀刻;
·该含硅膜被选择性地从氮化钛层蚀刻;
·该含硅膜被选择性地从钽层蚀刻;
·该含硅膜是氧化硅层;
·从a-C层选择性地蚀刻该氧化硅层;
·从光致抗蚀剂层选择性地蚀刻该氧化硅层;
·从p-Si层选择性地蚀刻该氧化硅层;
·从结晶硅层选择性地蚀刻该氧化硅层;
·从金属接触层选择性地蚀刻该氧化硅层;
·从SiN层选择性地蚀刻该氧化硅层;
·该含硅膜是氮化硅层;
·从a-C层选择性地蚀刻该氮化硅层;
·从图案化的光致抗蚀剂层选择性地蚀刻该氮化硅层;
·从p-Si层选择性地蚀刻该氮化硅层;
·从结晶硅层选择性地蚀刻该氮化硅层;
·从金属接触层选择性地蚀刻该氮化硅层;
·从氧化硅层选择性地蚀刻该氮化硅层;
·该含硅膜是SiON层;
·从光致抗蚀剂层选择性地蚀刻该SiON层;
·该含硅膜是SiCOH层;
·从氮化钛层选择性地蚀刻该SiCOH层;
·从a-C层选择性地蚀刻该SiCOH层;
·从光致抗蚀剂层选择性蚀刻该SiCOH层;
·该含硅膜是交替的氧化硅和氮化硅层;
·以类似的蚀刻速率蚀刻氧化硅和氮化硅层两者;
·从硅层选择性地蚀刻氧化硅和氮化硅层两者;
·从p-Si层选择性地蚀刻氧化硅和氮化硅层两者;
·从结晶硅层选择性地蚀刻氧化硅和氮化硅层两者;
·从a-C层选择性地蚀刻氧化硅和氮化硅层两者;
·该含硅膜是交替的氧化硅和p-Si层;
·以类似的蚀刻速率蚀刻氧化硅和p-Si层两者;
·从a-C层选择性地蚀刻氧化硅和p-Si层两者;
·从氮化硅层选择性地蚀刻氧化硅和p-Si层两者;
·在该含硅膜中产生具有在大约10:1与大约200:1之间的纵横比的孔;
·产生栅极沟槽;
·产生阶梯式接点;
·产生通道孔洞(channel hole);
·产生具有在大约60:1与大约100:1之间的纵横比的通道孔洞;
·产生具有在从大约5nm至大约100nm的范围内的直径的通道孔洞;
·产生具有在从大约10nm至大约50nm的范围内的直径的通道孔洞;
·通过将蚀刻气体引入该反应腔室中来改进选择性;
·该蚀刻气体选自由以下各项组成的组:cC4F8、cC5F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、F-C≡N、CS2、SO2、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、顺式-1,1,1,4,4,4-六氟-2-丁烯(顺式-C4H2F6)、六氟异丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟环丁烷(反式-C4H2F6)、1,1,2,2,3-五氟环丁烷(C4H3F5)、1,1,2,2-四氟环丁烷(C4H4F4)、或顺式-1,1,2,2,3,4-六氟环丁烷(顺式-C4H2F6);
·该蚀刻气体是cC5F8
·该蚀刻气体是cC4F8
·该蚀刻气体是C4F6
·在引入该反应腔室中之前将该含碘蚀刻化合物的蒸气和该蚀刻气体混合;
·将该含碘蚀刻化合物的蒸气与该蚀刻气体分开地引入该反应腔室中;
·将大约0.01%v/v至大约99.99%v/v的该蚀刻气体引入该反应腔室中;
·通过施加RF功率活化该等离子体;
·通过在从大约25W至大约10,000W的范围内的RF功率活化该等离子体;
·该反应腔室具有在从大约1毫托至大约10托的范围内的压力;
·以从大约0.1sccm至大约1slm范围内的流速将该含碘蚀刻化合物的蒸气引入该反应腔室中;
·将该基板维持在从大约-196℃至大约500℃的范围内的温度下;
·将该基板维持在从大约-120℃至大约300℃的范围内的温度下;
·将该基板维持在从大约-100℃至大约50℃的范围内的温度下;
·将该基板维持在从大约-10℃至大约40℃的范围内的温度下;并且
·通过四极质谱仪、光学发射光谱仪、FTIR或其他自由基/离子测量工具来测量该经活化的含碘蚀刻化合物。
还披露了具有式CaHxFyIz的含碘蚀刻化合物,其中a=1-3,x=0-6,y=1-7,z=1-2,当a=1时x+y+z=4,当a=2时x+y+z=4或6,并且当a=3时x+y+z=6或8。所披露的含碘蚀刻化合物包括以下方面中的一项或多项:
·该含碘蚀刻化合物具有式CHxFyIz,其中x是0-2,y是1-3,z是1-2,并且x+y+z=4;
·该含碘蚀刻化合物是CF3I;
·该含碘蚀刻化合物是CF2I2
·该含碘蚀刻化合物具有式CHxFyIz,其中x是1-2,y是1-2,z是1-2,并且x+y+z=4;
·该含碘蚀刻化合物是CHF2I;
·该含碘蚀刻化合物是CH2FI;
·该含碘蚀刻化合物是CHFI2
·该含碘蚀刻化合物具有式C2HxFyIz,其中x是0-2,y是1-3,z是1-2,并且x+y+z=4;
·该含碘蚀刻化合物是C2F3I并且具有以下结构:
·该含碘蚀刻化合物具有式C2HxFyIz,其中x是1-2,y是1-2,z是1-2,并且x+y+z=4;
·该含碘蚀刻化合物是C2HF2I并且具有以下结构:
·该含碘蚀刻化合物是C2HF2I并且具有以下结构:
·该含碘蚀刻化合物是C2H2FI并且具有以下结构:
·该含碘蚀刻化合物是C2H2FI并且具有以下结构:
·该含碘蚀刻化合物具有式C2HxFyIz,其中x是0-4,y是1-5,z是1-2,并且x+y+z=6;
·该含碘蚀刻化合物是C2H5I并且具有以下结构:
·该含碘蚀刻化合物具有式C2HxFyIz,其中x是1-4,y是1-4,z是1-2,并且x+y+z=6;
·该含碘蚀刻化合物是C2HF4I并且具有以下结构:
·该含碘蚀刻化合物是C2HF4I并且具有以下结构:
·该含碘蚀刻化合物是C2H2F3I并且具有以下结构:
·该含碘蚀刻化合物是C2H2F3I并且具有以下结构:
·该含碘蚀刻化合物是C2H2F3I并且具有以下结构:
·该含碘蚀刻化合物是C2H3F2I并且具有以下结构:
·该含碘蚀刻化合物是C2H3F2I并且具有以下结构:
·该含碘蚀刻化合物是C2H4FI并且具有以下结构:
·该含碘蚀刻化合物是C2H4FI并且具有以下结构:
/>
·该含碘蚀刻化合物是C2F4I2并且具有以下结构:
·该含碘蚀刻化合物是C2F4I2并且具有以下结构:
·该含碘蚀刻化合物具有式C3HxFyIz,其中x是0-4,y是1-5,z是1-2,并且x+y+z=6;
·该含碘蚀刻化合物是C3F5I并且具有以下结构:
·该含碘蚀刻化合物具有式C3HxFyIz,其中x是1-4,y是1-4,z是1-2,并且x+y+z=6;
·该含碘蚀刻化合物是C3HF4I并且具有以下结构:
·该含碘蚀刻化合物是C3HF4I并且具有以下结构:
·该含碘蚀刻化合物是C3HF4I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F3I并且具有以下结构:
·该含碘蚀刻化合物具有式C3HxFyIz,其中x是0-6,y是1-7,z是1-2,并且x+y+z=8;
·该含碘蚀刻化合物是C3F7I并且具有以下结构:
·该含碘蚀刻化合物是C3F7I并且具有以下结构:
·该含碘蚀刻化合物具有式C3HxFyIz,其中x是1-6,y是1-6,z是1-2,并且x+y+z=8;
·该含碘蚀刻化合物是C3HF6I并且具有以下结构:
·该含碘蚀刻化合物是C3HF6I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F5I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F5I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F5I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F5I并且具有以下结构:
·该含碘蚀刻化合物是C3H2F5I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H3F4I并且具有以下结构:
·该含碘蚀刻化合物是C3H4F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H4F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H4F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H4F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H4F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H4F3I并且具有以下结构:
·该含碘蚀刻化合物是C3H4F3I并且具有以下结构:
·具有在按体积计从大约95%至大约99.999%的范围内的纯度;
·包含按体积计在大约万亿分之10至大约5%之间的痕量气体杂质;
·这些痕量气体杂质包含水;
·这些痕量气体杂质包含CO2
·这些痕量气体杂质包含N2;并且
·该含碘蚀刻化合物具有小于20ppmw的水含量。
记法和命名法
以下详细说明和权利要求书利用了本领域中通常众所周知的许多缩写、符号和术语。虽然定义典型地与每个首字母缩略词的第一个例子一起提供,但是为了方便,表1提供了所使用的缩写、符号和术语连同它们各自的定义的列表。
表1
一个/种(a或an) 一个/种或多于一个/种(one or more than one)
大约或约 所述值的±10%
LCD-TFT 液晶显示器-薄膜晶体管
DRAM 动态随机存取存储器
FinFET 鳍形场效应晶体管
块状CMOS 块状互补金属氧化物半导体
FD-SOI 完全耗尽型绝缘体上硅
FEOL 前段制程
BEOL 后段制程
TSV 硅通孔
RIE 反应性离子蚀刻
CCP 电容耦合等离子体
ICP 电感耦合等离子体
sccm 标准立方厘米/分钟
slm 标准升/分钟
ONON 氧化硅和氮化硅交替层
TCAT 兆位元单元阵列晶体管
P-BICS 管形位成本可伸缩的
QMS 四极质谱仪
ARC或BARC 减反射涂层或底部减反射涂层
a-C 无定形碳
CAS 化学文摘服务
PCTFE 聚氯三氟乙烯
PVDF 聚偏二氟乙烯
PTFE 聚四氟乙烯
SEM 扫描电子显微镜
NAND 非AND或负-NAND或否定AND)
TEOS 原硅酸四乙基酯
LDTEOS 低沉积速率TEOS
BPSG 硼磷硅酸盐玻璃
p-Si 多晶硅
XPS X射线光电子能谱
SiN 氮化硅
SiO 氧化硅
如本文所用,术语“蚀刻(etch)”或“刻蚀(etching)”意指使用等离子体经由离子轰击、远程等离子体、或蚀刻气体与基板之间的化学气相反应来移除材料。蚀刻工艺可以是各向异性或各向同性的。例如,等离子体干式蚀刻工艺产生各向异性蚀刻;化学蚀刻或湿式蚀刻工艺产生各向同性蚀刻。蚀刻工艺可用于在基板中产生孔,诸如通孔、沟槽、通道孔洞、栅极沟槽、阶梯式接点、电容器孔洞、接触孔洞等。
术语“图案蚀刻”或“图案化蚀刻”是指蚀刻非平面结构,诸如在含硅膜的堆叠上的图案化掩模层。
术语“掩模”是指抵抗蚀刻的层。该掩模层可位于待蚀刻的层的上方。
术语“蚀刻终止层”是指在待蚀刻的层的下方保护下面的层的层。
术语“器件通道”是指是实际器件的一部分的层,并且对其的任何损害将影响器件性能。
术语“纵横比”是指沟槽(或通孔)的高度与沟槽的宽度(或通孔的直径)的比率。
术语“选择性”意指一种材料的蚀刻速率与另一种材料的蚀刻速率的比率。术语“选择性的蚀刻(selective etch)”或“选择性地蚀刻(selectively etch)”意指蚀刻一种材料多于另一种材料,或换言之,在两种材料之间具有大于或小于1:1的蚀刻选择性。
当被用于描述R基团的上下文中时,术语“独立地”应理解为表示对象R基团不仅相对于带有相同或不同下标或上标的其他R基团独立地选择,而且相对于同样的R基团的任何附加种类独立地选择。例如,在式MR1 x(NR2R3)(4-x)中,其中M是原子,x是2或3,两个或三个R1基团可以但是不必是彼此相同的或与R2或与R3相同的。进一步地,应当理解,除非另外特别说明,否则当在不同式中使用时,R基团的值彼此独立。
注意,本文中的术语“膜”和“层”可互换地使用。应理解的是,膜可以对应于层或者与层相关,并且该层可以是指该膜。此外,本领域普通技术人员将认识到,本文所用的术语“膜”或“层”是指一定厚度的铺设或铺展在表面上的一些材料并且该表面的范围可从与整个晶片一样大至与沟槽或线一样小。
注意,本文中的术语“蚀刻化合物”和“蚀刻气体”可互换地使用。应理解的是,蚀刻化合物可以对应于蚀刻气体或者与蚀刻气体相关,并且该蚀刻气体可以是指该蚀刻化合物。
如本文所用,缩写“2D”是指平面基板上的二维栅极结构;缩写“3D”是指三维或垂直的栅极结构,其中栅极结构在垂直方向上堆叠。
本文使用来自元素周期表中元素的标准缩写。应理解,可通过这些缩写提及元素(例如,Si是指硅,N是指氮,O是指氧,C是指碳,H是指氢,F是指氟等)。
提供了由化学文摘服务指定的唯一的CAS登记号(即“CAS”)以帮助更好地识别所披露的分子。
请注意,含硅膜诸如氮化硅和氧化硅贯穿本说明书和权利要求书在不提及其适当化学计量学的情况下列出。这些含硅膜可包括纯硅(Si)层,诸如结晶Si、多晶硅(p-Si或多晶Si),或非晶硅;氮化硅(SikNl)层;或氧化硅(SinOm)层;或其混合物,其中k、I、m、和n在从0.1至6(包括端点)的范围内。优选地,氮化硅为SikNl,其中k和I各自在从0.5至1.5的范围内。更优选地,氮化硅为Si3N4。优选地,氧化硅为SinOm,其中n在从0.5至1.5的范围内并且m在从1.5至3.5的范围内。更优选地,氧化硅是SiO2。本文中,以下说明书中的SiN和SiO分别用于表示含有SikNl和SinOm的层。该含硅膜还可能是基于氧化硅的介电材料,诸如基于有机物或基于氧化硅的低-k介电材料,诸如应用材料公司(Applied Materials,Inc.)的BlackDiamond II或III材料(具有式SiOCH)。含硅膜还可以包括SiaObNc,其中a、b、c在从0.1至6的范围内。这些含硅膜还可包括掺杂剂,诸如B、C、P、As和/或Ge。
附图说明
为了进一步理解本发明的本质和目的,应结合附图来参考以下详细说明,在附图中相似元件给予相同或类似的参考号,并且其中:
图1a是3D NAND堆叠中的示例性层的截面侧视图;
图1b是3D NAND堆叠中的示例性层的截面侧视图,示出了在3D NAND堆叠的蚀刻期间沉积在侧壁上的聚合物;
图1c是3D NAND堆叠中的示例性层的截面侧视图,示出了在3D NAND堆叠的交替SiO/SiN层蚀刻期间产生的颗粒;
图1d是3D NAND堆叠中的示例性层的截面侧视图,示出了在3D NAND堆叠中的侧壁上暴露的SiN的选择性蚀刻;
图2是DRAM堆叠的示例性层的截面侧视图;
图3a是示例性层的截面侧视图,示出了在围绕典型的晶体管器件区域的SiO绝缘层之上的产生晶体管结构的光致抗蚀剂图案;
图3b是在蚀刻该SiO绝缘层之后的图3a的示例性层的截面侧视图;
图4是表明CF3I的电子碰撞电离能(eV)对比物种浓度(托)的曲线图;
图5是表明C2F3I的电子碰撞电离能(eV)对比物种浓度(托)的曲线图;
图6是表明C2F5I的电子碰撞电离能(eV)对比物种浓度(托)的曲线图;
图7是表明C2HF4I的电子碰撞电离能(eV)对比物种浓度(托)的曲线图;
图8是表明C3F5I的电子碰撞电离能(eV)对比物种浓度(托)的曲线图;
图9是表明C3F7I的电子碰撞电离能(eV)对比物种浓度(托)的曲线图;
图10是表明C2F6的电子碰撞电离能(eV)对比物种浓度(托)的曲线图;
图11是表明C3F8的电子碰撞电离能(eV)对比物种浓度(托)的曲线图;
图12是在示例性沉积和蚀刻测试中应用的示例性反应器系统的截面侧视图;
图13是表明用CF3I和O2的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图;
图14是表明用C2F3I和O2的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图;
图15是表明用C2F5I和O2的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图;
图16是表明用C2HF4I和O2的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图;
图17是表明用C3F5I和O2的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图;
图18是表明用C3F7I和O2的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图;以及
图19是表明用C2F3I和CF4的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图。
具体实施方式
披露了用于在含硅膜中等离子体蚀刻半导体结构(诸如通道孔洞、栅极沟槽、阶梯式接点、电容器孔洞、接触孔洞等)的方法。所披露的方法包括以下步骤:i)将含碘蚀刻化合物的蒸气引入基板上含有含硅膜的反应腔室中,其中该含碘蚀刻化合物具有式CaHxFyIz,其中a=1-3,x=0-6,y=1-7,z=1-2,当a=1时x+y+z=4,当a=2时x+y+z=4或6,并且当a=3时x+y+z=6或8;ii)将惰性气体引入该反应腔室中;以及iii)活化等离子体以产生能够从该基板蚀刻含硅膜的经活化的含碘蚀刻化合物。
如以下实例中所示出的,所披露的含碘蚀刻化合物的碘离子在低能量(eV)(即,<20eV)下从化合物中解离。其结果是,诸位申请人认为,所披露的蚀刻化合物可用于干式等离子体蚀刻工艺,这些工艺对下面的基板产生较小的损害,因为它们可以在较低的等离子体能量下进行。例如,所披露的含碘蚀刻化合物可特别适用于其中特别关注损害的低k蚀刻工艺,因为较低的等离子体能量可对蚀刻位点周围的多孔低k材料产生较小的损害。所披露的含碘蚀刻化合物还可防止临界尺寸的损失并降低低k表面粗糙度。另外,具有两个碘原子的分子可以增强这些益处,尽管由于碘原子的大小而失去挥发性。
碘(10.5eV)具有比氟(17.4eV)和惰性气体(Ar(15.8eV)、Xe(12.1eV)和Kr(14eV))更低的电离阈值。因此碘可能比氟更容易被等离子体电离。碘在等离子体中还可以表现地类似于惰性气体并且朝向晶片加速。氟的电离阈值用于将其保持为中性物种。其结果是,氟典型地通过化学反应参与蚀刻工艺。碘展现出比氟更少的化学反应性并且被电离并在等离子体蚀刻工艺期间轰击表面。C-I的键能也低于C-F的键能,从而导致与C-F键相比,C-I键更容易在等离子体中断裂。
如以下实例中所示出的,碘离子在低eV(即,<20eV)下从所披露的蚀刻化合物中解离。所得碘离子可能太大而无法进入具有窄宽度或直径的单元。然而,碘离子注入掩模单元中是有可能的。此注入可有助于增强掩模单元并且有助于其在蚀刻工艺期间保持其形状。
所披露的含碘蚀刻化合物具有式CaHxFyIz,其中a=1-3,x=0-6,y=1-7,z=1-2,当a=1时x+y+z=4,当a=2时x+y+z=4或6,并且当a=3时x+y+z=6或8。在表2中列出了示例性的含碘蚀刻化合物。这些分子是可商购的或可以通过本领域中已知的方法合成。它们的结构式、CAS号和沸点包括在表2中。
表2.可商购的蚀刻化合物
/>
/>
/>
/>
/>
/>
/>
“~”表明已经由化学文摘数据库(SciFinder database)预测的沸点
当a=1时,所披露的含碘蚀刻化合物具有式CHxFyIz,其中x=0-2,y=1-2,z=1-2,x+y+z=4。示例性化合物包括CF3I、CF2I2、CHF2I、CH2FI、和CHFI2,优选CF2I2。诸位申请人认为,当所披露的含碘蚀刻化合物包括至少一个H时,可以提高SiN的蚀刻速率,同时保持对掩模层的高选择性。当a=1时,具有一个H的含碘蚀刻化合物具有式CHxFyIz,其中x=1-2,y=1-2,并且z=1-2。示例性化合物包括CHF2I、CH2FI、和CHFI2
诸位申请人认为,具有2-3个碳原子的分子可以在蚀刻工艺期间提供比C1分子更好的侧壁保护。
对于侧壁保护,C3分子是优选的,因为诸位申请人认为它们将产生更厚的钝化层。C3含碘蚀刻化合物具有式C3HxFyIz,其中x=0-6,y=1-7,z=1-2,并且x+y+z=6或8。示例性C3含碘蚀刻化合物包括C3F5I、C3HF4I、C3H2F3I、C3F7I、C3HF6I、C3H2F5I、C3H3F4I、和C3H4F3I,优选C3F5I、C3HF4I、C3H2F3I、C3HF6I、C3H2F5I、C3H3F4I、或C3H4F3I,甚至更优选C3HF4I、C3H2F3I、C3H2F5I、C3H3F4I、或C3H4F3I。
然而,C2分子可更容易递送到反应器中,或者对于一些应用,更薄的钝化层可能是所希望的。C2含碘蚀刻化合物具有式C2HxFyIz,其中x=0-4,y=1-5,z=1-2,并且x+y+z=4或6。示例性C2含碘蚀刻化合物包括C2F3I、C2HF2I、C2H2FI、C2F5I、C2HF4I、C2H2F3I、C2H3F2I、C2H4FI、和C2F4I2,优选C2F3I、C2H2FI、C2H3F2I、C2H4FI、或C2F4I2,并且更优选C2H2FI、C2H3F2I、或C2H4FI,并且甚至更优选C2HF2I、C2HF4I、或1,1-二氟-1-碘-乙烷。
诸位申请人还认为,当所披露的含碘蚀刻化合物包括至少一个H(即,C2HF2I、C2HF4I、C2H2F3I、C2H3F2I、C2H4FI、C3HF4I、C3H2F3I、C3HF6I、C3H2F5I、C3H3F4I、和C3H4F3I,优选C2H2FI、C2H3F2I、C2H4FI、C3HF4I、C3H2F3I、C3HF6I、C3H2F5I、C3H3F4I、和C3H4F3I)时,可以提高SiN的蚀刻速率,同时保持对掩模层的高选择性。
再次,对于侧壁保护,C3分子是优选的。含有一个H的C3含碘蚀刻化合物具有式C3HxFyIz,其中x=1-6,y=1-6,z=1-2,并且x+y+z=6或8。示例性分子包括C3HF4I、C3H2F3I、C3HF6I、C3H2F5I、C3H3F4I、和C3H4F3I。
但是再次,C2分子可更容易递送到反应器中。含有一个H的C2含碘蚀刻化合物具有式C2HxFyIz,其中x=1-4,y=1-4,z=1-2,并且x+y+z=4或6。示例性分子包括C2HF2I、C2H2FI、C2HF4I、C2H2F3I、C2H3F2I、和C2H4FI,优选C2H2FI、C2H3F2I、或C2H4FI,并且更优选C2HF2I、C2HF4I、或1,1-二氟-1-碘-乙烷。
所披露的含碘蚀刻化合物可以在高纵横比结构(诸如具有在从10:1至200:1范围内的纵横比的那些,诸如DRAM和3D NAND应用)中提供对于掩模层、蚀刻终止层和器件通道材料的高选择性并且没有轮廓变形。可替代地,所披露的含碘蚀刻化合物还可以在接触蚀刻应用中提供对于掩模层或氮化硅(诸如具有在从1:1至50:1的范围内的纵横比的那些)的高选择性。
所披露的含碘蚀刻化合物可提供对于宽的蚀刻工艺条件的无限选择性。在此,选择性是指两个不同层的蚀刻速率比。例如,SiO层对比a-C层的选择性是SiO的蚀刻速率除以a-C层的蚀刻速率。所披露的含碘蚀刻化合物可以在图案高纵横比结构中提供在这些含硅膜与掩模材料之间的改进的选择性、对通道区域的较小损害以及减少的弯曲。所披露的含碘蚀刻化合物还可以蚀刻穿过p-Si、SiO和/或SiN的交替层,导致垂直蚀刻轮廓(即,表明在交替层之间的在从2:1至1:2的范围内的选择性)。
所披露的含碘蚀刻化合物以大于95%v/v纯度、优选以大于99.99%v/v纯度、并且更优选以大于99.999%v/v纯度提供。所披露的含碘蚀刻化合物含有按体积计小于5%的痕量气体杂质,其中按体积计小于150ppm的杂质气体,诸如N2和/或H2O和/或CO2,包含在所述痕量气态杂质中。优选地,等离子体蚀刻气体中的水含量是按重量计小于20ppmw。可通过蒸馏和/或使气体或液体通过适合的吸附剂(诸如4A分子筛)产生经纯化的产物。
所披露的含碘蚀刻化合物含有小于10%v/v、优选地小于1%v/v、更优选小于0.1%v/v、并且甚至更优选小于0.01%v/v的任何其异构体,其可以通过蒸馏气体或液体以移除异构体来纯化并且可以提供更好的工艺可重复性。
可替代地,所披露的含碘蚀刻化合物可含有在5%v/v与50%v/v之间的其异构体,特别地当该异构体混合物提供改进的工艺参数或如果目标异构体的分离过于困难或昂贵时。例如,所披露的含碘蚀刻化合物可包含在大约50%v/v与大约75%v/v之间的(1E)-1,2-二氟-1-碘-乙烯以及在大约25%v/v与大约50%v/v之间的(1Z)-1,2-二氟-1-碘-乙烯。该异构体的混合物还可减少对于两个或更多个通向该反应腔室的气体管线的需要。
一些所披露的含碘蚀刻化合物在室温和大气压力下是气态的。对于非气态(即液体或固体)化合物,可通过经由常规的汽化步骤,诸如直接汽化或通过用惰性气体(N2、Ar、He)鼓泡使这些化合物汽化来产生它们的气体形式。这些非气态化合物可在引入反应器中之前以液态进料到汽化器中,在该汽化器中使其汽化。
所披露的含碘蚀刻化合物适合于在含硅膜中等离子体蚀刻半导体结构(诸如通道孔洞、栅极沟槽、阶梯式接点、电容器孔洞、接触孔洞等)。所披露的含碘蚀刻化合物不仅与当前可获得的掩模材料相容,而且与未来几代掩模材料相容,因为所披露的含碘蚀刻化合物对掩模连同高纵横比结构的良好轮廓引起极少损害或没有损害。换言之,所披露的含碘蚀刻化合物可产生具有最小至没有弯曲、图案坍塌或粗糙度的垂直的蚀刻图案。为了实现这些特性,所披露的含碘蚀刻化合物可以在蚀刻期间沉积抗蚀刻聚合物层,以帮助减少在蚀刻工艺期间的氧和氟自由基的直接影响。所披露的含碘蚀刻化合物还可以减少在蚀刻期间对p-Si或结晶Si通道结构的损害。优选地,所披露的含碘蚀刻化合物在用于递送到该反应器/腔室中的蚀刻工艺期间是适当地挥发性的且稳定的。
材料相容性测试对于确定是否任何所披露的含碘蚀刻化合物将与腔室材料反应并且降低具有短期或长期用途的腔室的性能是重要的。在腔室、阀等的部件中涉及的关键材料包括不锈钢、铝、镍、PCTFE、PVDF、PTFE和其他金属和聚合物。有时,这些材料暴露于高温(例如高于20℃)和高压(例如高于1atm),这可增强其降解。计量方法可以包括目视检查、重量测量、测量扫描电子显微术(SEM)中的纳米尺度变化、拉伸强度、硬度等。
所披露的含碘蚀刻化合物可用于等离子体蚀刻在基板上的含硅膜。所披露的等离子体蚀刻方法可以在制造半导体器件,诸如NAND或3D NAND栅极或者闪存或DRAM存储器或晶体管,诸如鳍形场效应晶体管(FinFET),块状互补金属氧化物半导体(块状CMOS),完全耗尽型绝缘体上硅(FD-SOI)结构上是有用的。所披露的含碘蚀刻化合物可用于其他应用领域,诸如不同的前段制程(FEOL)和后段制程(BEOL)蚀刻应用。此外,所披露的含碘蚀刻化合物还可以用于在3D硅通孔(TSV)蚀刻应用中蚀刻Si用于将存储器与在基板上的逻辑电路互相连接。
该等离子体蚀刻方法包括提供具有安置在其中的基板的反应腔室。该反应腔室可以是其中进行蚀刻方法的器件内的任何附件或腔室,诸如并且不限于反应性离子蚀刻(RIE)、具有单一或多个频率RF源的电容耦合等离子体(CCP)、电感耦合等离子体(ICP)、或微波等离子体反应器,或能够选择性地移除含硅膜的一部分或产生活性物种的其他类型的蚀刻系统。本领域普通技术人员将认识到,不同的等离子体反应腔室设计提供不同的电子温度控制。合适的可商购的等离子体反应腔室包括但不限于以商标eMAXTM出售的应用材料公司磁增强的反应性离子蚀刻器或以商标FlexTM出售的拉姆研究公司(LamResearch)双重CCP反应性离子蚀刻器介电蚀刻产品家族。以这样的等离子体反应腔室中的RF功率可以被脉冲化以控制等离子体特性,并且从而进一步改进蚀刻性能(选择性和损害)。
可替代地,等离子体处理过的反应物可以在反应腔室外产生。MKS仪器公司的反应性气体产生器可以用于在通入反应腔室之前处理反应物。在2.45GHz、7kW等离子体功率和范围从大约0.5托至大约10托的压力下操作,反应物O2可分解成两个O·自由基。优选地,可以用范围从约1kW至约10kW、更优选从约2.5kW至约7.5kW的功率产生远程等离子体。
该反应腔室可含有一个或多于一个基板。例如,该反应腔室可含有从1至200个具有从25.4mm至450mm的直径的硅晶片。基板可以是在半导体、光伏、平板或LCD-TFT器件制造中使用的任何合适的基板。合适的基板的实例包括晶片,诸如硅、二氧化硅、玻璃、或GaAs晶片。从先前的制造步骤,该晶片将具有在其上的多个膜或层,包括含硅膜或层。这些层可以是图案化的或可以不是图案化的。合适的层的实例包括但不限于硅(诸如非晶硅、p-Si、结晶硅,其中的任何一种可以进一步用B、C、P、As、和/或Ge进行p掺杂或n掺杂)、二氧化硅、氮化硅、氧化硅、氮氧化硅、SiaObHcCdNe(其中a>0;b、c、d、e≥0)、掩模层材料(诸如无定形碳、减反射涂层、光致抗蚀剂材料、钨、氮化钛、氮化钽或其组合)、蚀刻终止层材料(诸如氮化硅、多晶硅、结晶硅、碳化硅、SiCN或其组合)、器件通道材料(诸如结晶硅、外延硅、掺杂硅、SiaObHcCdNe(其中a>0;b、c、d、e≥0)或其组合)。该氧化硅层可以形成介电材料,诸如基于有机物或基于氧化硅的低-k介电材料(例如,多孔SiCOH膜)。示例性的低-k介电材料由应用材料公司以商品名Black Diamond II或III出售。此外,可以使用包含钨或贵金属(例如铂、钯、铑或金)的层。此外,这些含硅膜的实例可以是SiaObHcCdNe(其中a>0;b、c、d、e≥0)。贯穿本说明书和权利要求书,晶片及其上的任何相关层被称为基板。
以下是可以将所披露的含碘蚀刻化合物施加在其上进行蚀刻的基板的示例性实施例。
在一个实施例中,基板100可以包括多个层的堆叠,如图1a中示出的。图1a是产生3D NAND栅极的3D NAND堆叠中的示例性层的截面侧视图。在图1a中,七个交替的SiO/SiN(即,104a/104b)层的堆叠104位于硅晶片102的顶部上(即,ONON或TCAT技术)。本领域的普通技术人员将认识到一些技术用p-Si层替换SiN层104b(例如,SiO/p-Si或P-BICS技术)。无定形碳(a-C)掩模层106位于七个SiO/SiN层104的顶部上。a-C掩模层106可以含有C和H以及其他元素诸如硼、氮等,以改进在SiO/SiN层蚀刻期间的抗蚀刻性。减反射涂层108位于a-C掩模层106的顶部上。图案化的光致抗蚀剂层110位于减反射涂层108的顶部上。在此,在减反射涂层108与a-C掩模层106之间可以存在SiON层(未示出),以将光致抗蚀剂层110中的图案转移到a-C层106。本领域的普通技术人员将认识到,图1a中的基板100中的层的堆叠仅出于示例性目的而提供,并且所披露的含碘蚀刻化合物可用于蚀刻其他类型的层的堆叠。此外,本领域的普通技术人员将认识到,基板100的堆叠中的交替的SiO/SiN或SiO/p-Si层104的数目可以变化(即,可以包括多于或少于所描绘的七个SiO/SiN(104a/104b)层)。
图1b是图1a的3D NAND堆叠中的示例性层的截面侧视图,示出了在蚀刻期间沉积在侧壁上的聚合物。所披露的含碘蚀刻化合物可以在等离子体工艺期间产生适合于各向异性蚀刻含硅膜104并且在正被蚀刻的结构的侧壁上沉积含I聚合物钝化层212两者的碎片,如图1b中示出的。在图1b与图1a之间的差异是,在图1b中,通孔214通过使用所披露的含碘蚀刻化合物的等离子体蚀刻而在基板100中形成,这些化合物还将聚合物钝化层212沉积在通孔214的侧壁上。聚合物钝化层212还在通孔214的底部处提供了更平滑的侧壁、较少的弯曲和较少的变形。然而,聚合物钝化层212可以通过本领域已知的干式或湿式蚀刻化学过程容易地移除或清洁。
图1c是图1a的3D NAND堆叠中的示例性层的截面侧视图,示出了在3D NAND堆叠中的交替SiO/SiN层蚀刻期间产生的颗粒316。如图1c中示出的,在交替的SiO/SiN(即,104a/104b)层104的侧壁上产生的颗粒316可以通过使用所披露的含碘蚀刻化合物来最小化。在图1c与图1b之间的差异是,在图1c中,交替的SiO/SiN暴露的侧壁具有在等离子体蚀刻期间产生的颗粒316。诸位申请人不认为所披露的含碘蚀刻化合物将产生图1c中示出的颗粒316。
图1d是图1a的3D NAND堆叠中的示例性层的截面侧视图,示出了在各向异性蚀刻工艺之后在3D NAND堆叠中的侧壁上暴露的SiN层104b的选择性的各向同性蚀刻。堆叠100中的SiN暴露的侧壁可以通过以下方式选择性地蚀刻,如图1d中示出的:使用所披露的含碘蚀刻化合物来选择性地破坏SiN层104b中的Si-N键(越过SiO层104a中的Si-O键),在通孔214中的SiO/SiN层104的堆叠上形成选择性侧壁SiN蚀刻418。在图1d与图1b之间的差异是,在图1d中,通过所披露的含碘蚀刻化合物来选择性地蚀刻交替的SiO/SiN侧壁上暴露的SiN,形成选择性侧壁SiN蚀刻418。典型地,选择性侧壁SiN蚀刻418通过使用与磷酸的混合物的湿式蚀刻来进行。已知用干式等离子体蚀刻工艺替换湿式蚀刻工艺来大大改进半导体器件制造工艺的经济性,因为湿式蚀刻要求将基板移动至不同的湿式蚀刻设备。用所披露的方法,可以在一件蚀刻设备中进行包括图1d的选择性侧壁SiN蚀刻的所有蚀刻,这可以减少半导体制造的成本。
在替代性实施例中,基板100可以包括在其上的多个层的堆叠,如图2中示出的。图2是产生DRAM存储器的DRAM堆叠中的示例性层的截面侧视图。在图2中,四层的堆叠位于硅晶片102的顶部上。a-C掩模层106位于大SiO层104a的顶部上。减反射涂层108位于a-C掩模106的顶部上。图案光致抗蚀剂层110位于减反射涂层108的顶部上。在减反射涂层108与a-C掩模层106之间可以存在SiON层(未示出),以将光致抗蚀剂层110中的图案转移到a-C层106中。本领域的普通技术人员将认识到,图2中的层的堆叠仅出于示例性目的而提供,并且所披露的含碘蚀刻化合物可用于蚀刻其他层的堆叠,例如,对于其中用TiN层替换a-C掩模层106的堆叠。此外,本领域的普通技术人员将认识到,该堆叠中的层数可以变化(即,可以包括多于或少于所描绘的层)。
图3a是示例性层的截面侧视图,示出了在围绕典型的晶体管器件区域的SiO绝缘层上的产生晶体管结构的光致抗蚀剂图案。如图3a中示出的,基板600可以包括围绕支撑在硅晶片602上的晶体管栅极电极区域的四层的堆叠。图3a中示出的晶体管区域包括充当源极和漏极的两个掺杂的硅区域606。晶体管栅极电介质614存在于栅极电极616下面。整个晶体管(即,晶体管栅极电介质614和栅极电极616)被薄的SiN层608围绕,该SiN层可以稍后在接触蚀刻期间充当蚀刻终止层。每个晶体管器件区域616/606被硅晶片602中的SiO隔离区域604分开以使电干扰最小化。本领域的普通技术人员将认识到,层602可以位于绝缘体上硅(SOI)晶片的氧化硅层的顶部上。另一个SiO层610被沉积在晶体管上并用于使任何金属接点与晶体管器件区域606绝缘。使用光致抗蚀剂掩模612来图案化SiO层610。在等离子体环境中使用所披露的含碘蚀刻化合物进行蚀刻。光致抗蚀剂掩模612充当蚀刻SiO层610的模板,并且在SiN层608上停止蚀刻,如图3b中示出的。
图3b是在蚀刻该SiO绝缘层之后的图3a的示例性层的截面侧视图。在图3b与图3a之间的差异是,在图3b中,通过经由所披露的含碘蚀刻化合物的蚀刻在SiO层610中形成通孔718。SiO层610可以用光致抗蚀剂层612作为掩模层进行蚀刻。该掩模层可以是任何合适的光致抗蚀剂掩模材料,诸如TiN、a-C等。可以在下面的SiN层608处停止该蚀刻。
所披露的含碘蚀刻化合物还可以用于用不同的等离子体条件和不同的混合物来蚀刻SiN层608。本领域的普通技术人员将认识到,图3a和图3b中的层的堆叠和几何形状仅出于示例性目的而提供,并且所披露的含碘蚀刻化合物可用于蚀刻其他类型的层的堆叠。此外,本领域的普通技术人员将认识到,该堆叠中的层数可以变化(即,可以包括多于或少于所描绘的四个层)。
将所披露的含碘蚀刻化合物的蒸气引入含有该基板和含硅膜的反应腔室中。可以以在从大约0.1sccm至大约1slm范围内的流速将该蒸气引入该腔室中。例如,对于200mm晶片尺寸,可以以在从大约5sccm至大约50sccm范围内的流速将该蒸气引入该腔室中。可替代地,对于450mm晶片尺寸,可以以在从大约25sccm至大约250sccm范围内的流速将该蒸气引入该腔室中。本领域普通技术人员将认识到,流速可以随工具不同而不同。
所披露的含碘蚀刻化合物可以以纯净形式或与惰性气体(诸如N2、Ar、He、Xe等)或溶剂的共混物供应。所披露的含碘蚀刻化合物可以是以变化浓度存在于该共混物中。对于液体含碘蚀刻化合物,可通过经由常规的汽化步骤(诸如直接汽化)使纯净的或共混的含碘蚀刻化合物溶液汽化或通过鼓泡来产生这些含碘蚀刻化合物的蒸气形式。这些纯净的或共混的含碘蚀刻化合物可在引入反应器中之前以液态进料到汽化器中,在该汽化器中使其汽化。
可替代地,可通过将载气传送至含有所披露的含碘蚀刻化合物的容器内或通过将载气鼓泡进所披露的含碘蚀刻化合物内使这些纯净的或共混的含碘蚀刻化合物汽化。该载气可包括但不限于Ar、He、N2及其混合物。用载气鼓泡还可移除这些纯净的或共混的含碘蚀刻化合物溶液中存在的任何溶解氧。该载气和所披露的含碘蚀刻化合物然后作为蒸气被引入反应器中。
必要时,含有所披露的含碘蚀刻化合物的容器可以被加热到允许这些含碘蚀刻化合物处于液相并且具有用于递送到蚀刻工具中的足够的蒸气压的温度。可将该容器维持在例如大约0℃至大约150℃、优选从大约25℃至大约100℃、更优选从大约25℃至大约50℃的范围内的温度下。更优选地,将该容器维持在室温(大约25℃)下以便避免加热通向蚀刻工具的管线。本领域技术人员认识到可以按已知方式调节该容器的温度以控制汽化的含碘蚀刻化合物的量。
此外,这些含碘蚀刻化合物以在按体积计从95%至99.999%的范围内的纯度递送,并且可以用移除CO、CO2、N2、H2O、HF、H2S、SO2、卤化物和其他烃或氢卤烃的已知的标准纯化技术来纯化。
还将惰性气体引入该反应腔室中以便维持该等离子体。该惰性气体可为He、Ar、Xe、Kr、Ne、N2或其组合。在引入该腔室中之前,可以混合该蚀刻气体和该惰性气体,其中该惰性气体占所得混合物的在大约0.01%v/v与大约99.9%v/v之间。可替代地,可将该惰性气体连续地引入该腔室中,而以脉冲形式将该蚀刻气体引入该腔室中。
所披露的蚀刻气体的蒸气和惰性气体被等离子体活化以产生经活化的蚀刻气体。该等离子体将该蚀刻气体分解成自由基形式(即,经活化的蚀刻气体)。等离子体可通过施加RF或DC功率产生。等离子体可用在从约25W至约10,000W范围内的RF功率产生。等离子体可以远程地或在反应器本身内产生。等离子体可以以双重CCP或ICP模式在两个电极处施加的RF下产生。等离子体的RF频率可在从200KHz至1GHz的范围内。可在同一电极处耦合且施加不同频率的不同RF源。等离子体RF脉冲可进一步用于控制基板处的分子碎片和反应。本领域技术人员将认识到适合于此种等离子体处理的方法和设备。
四极质谱仪(QMS)、光学发射光谱仪、FTIR或其他自由基/离子测量工具可测量来自腔室排气的经活化的蚀刻气体以确定所产生的物种的类型和数目。必要时,可调节蚀刻气体和/或惰性气体的流速以增加或减少所产生的自由基物种的数量。
所披露的蚀刻气体可在引入反应腔室中之前或在该反应腔室内与其他气体混合。优选地,可以在引入该腔室中之前将这些气体混合,以便提供均匀浓度的进入气体。
在另一个替代方案中,可以将该含碘蚀刻化合物的蒸气独立于其他气体引入该腔室中,诸如当两种或更多种气体反应或更容易单独递送时。
在另一个替代方案中,该蚀刻气体和该惰性气体是在蚀刻工艺期间使用的唯一的两种气体。
示例性其他气体包括但不限于氧化剂诸如O2、O3、CO、CO2、NO、N2O、NO2、及其组合。所披露的蚀刻气体和氧化剂可在引入反应腔室中之前混合在一起。
可替代地,可向该腔室中连续地引入氧化剂且向该腔室中以脉冲形式引入蚀刻气体。该氧化剂可占引入腔室中的混合物的在大约0.01%v/v至大约99.99%v/v之间(其中99.99%v/v表示针对连续引入替代方案的几乎纯氧化剂的引入)。
可以与所披露的含碘蚀刻化合物一起使用的其他示例性气体包括附加的蚀刻气体,诸如cC4F8、C4F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I、SO2、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、顺式-1,1,1,4,4,4-六氟-2-丁烯(顺式-C4H2F6)、六氟异丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟环丁烷(反式-C4H2F6)、1,1,2,2,3-五氟环丁烷(C4H3F5)、1,1,2,2-四氟环丁烷(C4H4F4)、或顺式-1,1,2,2,3,4-六氟环丁烷(顺式-C4H2F6)。例如,大约1%v/v至大约25%v/v的所披露的含碘蚀刻化合物可以与余量的C4F6或cC4F8一起使用。如以下实例中所示,所披露的含碘蚀刻化合物与常规蚀刻气体的组合可导致增加的蚀刻速率,同时保持基板和与所披露的含碘蚀刻化合物相关联的待蚀刻层之间的较高选择性。
在引入该反应腔室中之前可以将所披露的含碘蚀刻化合物的蒸气和该蚀刻气体混合。该附加的蚀刻气体可占引入腔室中的混合物的在大约0.01%v/v至大约99.99%v/v之间。
在一种非限制性示例性等离子体蚀刻工艺中,使用受控制的气流器件将1,1,3,3-四氟-3-碘-1-丙烯(CAS号674-03-3)的蒸气引入200mm的双重CCP等离子体蚀刻工具中。该受控制的气流器件可以是质量流量控制器或者鼓泡器设计,其中惰性气体流递送所希望的分子的蒸气。在高沸点分子的情况下,可以使用来自布鲁克斯自动化公司(BrooksAutomation)(编号GF120XSD)、MKS仪器公司(MKS Instruments)等的特定的低压降质量流量控制器。将该反应腔室的压力设定在大约30毫托下。如果蒸气压力足够,则不需要气源加热。将在两个CCP电极之间的距离保持在1.35cm且将顶部电极RF功率固定在750W。改变底部电极RF功率以分析分子的性能。该反应腔室含有在其上具有含硅膜的基板,类似于图1a中示出的那些。通过氟碳化合物(例如CF4和CH2F2)和含氧气体(例如O2)对减反射涂层108进行图案化/蚀刻。通过含氧气体对无定形碳掩模层进行图案化/蚀刻。通过所披露的含碘蚀刻化合物(例如1,1,3,3-四氟-3-碘-1-丙烯)和氩气的等离子体对SiO和SiN层104进行图案化。将氩气以250sccm的流速独立地引入该腔室中。将1,1,3,3-四氟-3-碘-1-丙烯以15sccm独立地引入该腔室中。将O2独立地引入该腔室中并且从0sccm变化至20sccm以确定最佳的蚀刻条件。产生了具有等于或大于30:1的纵横比的孔,其可以用作垂直NAND中的通道孔洞。对于如图2和图3a中示出的其他堆叠层,可以使用类似的实例。
在另一种非限制性示例性等离子体蚀刻工艺中,使用受控制的气流器件将1,2-二氟-1-碘-乙烯引入200mm的双重CCP等离子体蚀刻工具中。该受控制的气流器件可以是质量流量控制器。在高沸点分子的情况下,可以使用来自布鲁克斯自动化公司(编号GF120XSD)、MKS仪器公司等的特定的低压降质量流量控制器。将该反应腔室的压力设定在大约30毫托下。如果蒸气压力足够,则不需要气源加热。将在两个CCP电极之间的距离保持在1.35cm且将顶部电极RF功率固定在750W。改变底部电极RF功率以分析1,2-二氟-1-碘-乙烯的性能。该反应腔室含有在其上具有厚SiO层104a的基板100,类似于图2中示出的层。在此工艺之前,通过氟碳化合物(例如CF4)和含氧气体(例如O2)移除减反射涂层108,并且通过含氧气体移除a-C掩模层106。将氩气以250sccm的流速独立地引入该腔室中。将1,2-二氟-1-碘-乙烯以15sccm独立地引入该腔室中。将O2以0-20sccm独立地引入该腔室中以确定最佳的蚀刻条件。产生了具有等于或大于10:1的纵横比的孔,其可以用作DRAM中的接触孔洞。对于如图1a和图3a中示出的其他堆叠层,可以使用类似的实例。
含硅膜和经活化的含碘蚀刻气体反应以形成挥发性副产物,将这些副产物从反应腔室中移除。该a-C掩模、减反射涂层和光致抗蚀剂层与经活化的蚀刻气体的反应性较小。因此,该经活化的蚀刻气体选择性地与含硅膜反应以形成挥发性副产物。
使反应腔室内的温度和压力保持在适用于含硅膜与经活化的蚀刻气体反应的条件下。例如,根据蚀刻参数需要,可使腔室中的压力保持在大约0.1毫托与大约1000托之间、优选在大约1毫托与大约10托之间、更优选在大约10毫托与大约1托之间、并且更优选在大约10毫托与大约100毫托之间。同样地,该腔室中的基板温度可在约大约-196℃至大约500℃之间、优选在大约-120℃至大约300℃之间、更优选在大约-100℃至大约50℃之间、并且更优选在大约-10℃至大约40℃之间的范围内。腔室壁温度可取决于工艺要求在从大约-196℃至大约300℃的范围内。
在含硅膜与经活化的蚀刻气体之间的反应导致从基板各向异性移除含硅膜。氮、氧和/或碳的原子也可存在于该含硅膜中。移除归因于由等离子体离子物理溅射含硅膜(通过等离子体加速)和/或通过等离子体物种的化学反应将Si转化成挥发性物种,诸如SiFx,其中x在从1-4的范围内。
所披露的含碘蚀刻化合物的等离子体活化的蒸气优选地展现出对掩模的高选择性并且蚀刻穿过SiO和SiN的交替层,导致垂直的蚀刻轮廓,而没有弯曲或粗糙度,这对于3DNAND应用是重要的。此外,等离子体活化的蒸气将聚合物沉积在侧壁上以使单元轮廓变形最小化。对于其他应用,诸如DRAM和2D NAND,例如,在不同工艺条件下的等离子体活化的蚀刻气体可以从SiN选择性地蚀刻SiO。等离子体活化的蚀刻气体可以选择性地从掩模层(诸如a-C、光致抗蚀剂、p-Si或碳化硅)或者从金属接触层(诸如Cu)或从由SiGe组成的通道区域或多晶硅区域蚀刻SiO和/或SiN。
所披露的使用所披露的含碘蚀刻化合物作为蚀刻气体的蚀刻工艺在含硅膜中产生了通道孔洞、栅极沟槽、阶梯式接点、电容器孔洞、接触孔洞等。所得孔可具有在从大约10:1至大约200:1范围内的纵横比和在从大约5nm至大约50nm范围内的直径。例如,本领域的普通技术人员将认识到,通道孔洞蚀刻在含硅膜中产生具有大于60:1的纵横比的孔。
还披露了使用所披露的含碘蚀刻化合物用于在等离子体蚀刻条件下制造半导体结构的方法。所披露的方法提供了含碘蚀刻化合物用于等离子体蚀刻含Si膜的用途。所披露的方法还提供了抑制对图案化光致抗蚀剂掩模层或图案硬掩模层的损害,保护图案化光致抗蚀剂掩模层或图案硬掩模层,或使对图案化光致抗蚀剂掩模层或图案硬掩模层的损害最小化,或加强图案化光致抗蚀剂掩模层或图案硬掩模层,同时在基板中形成孔、孔洞或沟槽。所披露的方法在半导体的制造,诸如在3D NAND和DARM应用中是有用的。
所披露的形成图案化结构的方法包括:将含碘蚀刻化合物的蒸气引入基板上含有含硅膜的反应腔室中,其中该含碘蚀刻化合物选自由以下各项组成的组:CF2I2、C2F3I、C2H2FI、C2H3F2I、C2H4FI、C2F4I2、C3F5I、C3HF4I、C3H2F3I、C3HF6I、C3H2F5I、C3H3F4I、C3H4F3I、C3HF4I、C3H2F3I、C3H2F5I、C3H3F4I、以及C3H4F3I;将惰性气体引入该反应腔室中;并且活化等离子体以产生能够从该基板蚀刻该含硅膜的经活化的含碘蚀刻化合物,以形成该图案化结构。
所披露的使对图案化掩模层的损害最小化同时在基板中形成通孔或沟槽的方法包括:将含碘蚀刻化合物的蒸气引入反应腔室中,该反应腔室含有具有安置在其上的含硅膜的基板和安置在该含硅层上的图案化掩模层,其中该含碘蚀刻化合物选自由C2H2FI、C2H3F2I、或C2H4FI组成的组;将惰性气体引入该反应腔室中;以及通过活化等离子体以形成经活化的含碘蚀刻化合物,从该基板蚀刻该含硅膜以形成通孔或沟槽。
所披露的加强图案化掩模层同时在基板中形成通孔或沟槽的方法包括:将含碘蚀刻化合物的蒸气引入反应腔室中,该反应腔室含有具有安置在其上的含硅膜的基板和安置在该含硅层上的图案化掩模层,其中该含碘蚀刻化合物选自由C2H2FI、C2H3F2I、或C2H4FI组成的组;将惰性气体引入该反应腔室中;以及将来自该含碘蚀刻化合物的I离子注入该图案化掩模中,同时通过活化等离子体以形成经活化的含碘蚀刻化合物,从该基板蚀刻该含硅膜以形成该通孔或沟槽。
需要被蚀刻的典型材料可以是SiO。蚀刻SiO的工艺可能与在硼磷硅酸盐玻璃(BPSG)、原硅酸四乙基酯(TEOS)或低沉积速率TEOS(LDTEOS)中的蚀刻沟槽有关。蚀刻终止层可以是氮化硅或氮氧化硅(SiON)或多晶硅。所使用的掩模材料可以是a-C、p-Si或光致抗蚀剂材料。在此,所披露的含碘蚀刻化合物被施加以蚀刻SiO、SiN、p-Si和/或a-C基板膜。
实例
提供以下非限制性实例以进一步说明本发明的实施例。然而,这些实例不旨在包括所有情况,并且不旨在限制本文所述发明的范围。
实例1
可以使用质谱仪来研究若干种所披露的含碘蚀刻气体的电子碰撞电离。对于该测试,允许蚀刻气体流过质谱仪腔室,并使用四极质谱仪(希登分析公司(Hiden AnalyticalInc.))检测器来研究作为电子能量的函数的来自蚀刻气体的碎片。在以下图中,x轴表示从0-100eV的电子能量并且y轴表示碎片物种的分压(以托计)。
图4是表明CF3I(CAS号2314-97-8)的电子碰撞电离能(eV)对比物种浓度(托)的曲线图。在10与20eV之间产生的优势碎片或电离产物是CF3、I、和CF3I。
图5是表明C2F3I(CAS号359-37-5)的电子碰撞电离能(eV)对比物种浓度(托)的曲线图。在10与20eV之间产生的优势碎片是CFI、CF、和I。
图6是表明C2F5I(CAS号354-64-3)的电子碰撞电离能(eV)对比物种浓度(托)的曲线图。在10与20eV之间产生的优势碎片或电离产物是C2F5、C2F5I、CF3、和I。
图7是表明C2HF4I(CAS号354-41-6)的电子碰撞电离能(eV)对比物种浓度(托)的曲线图。在10与20eV之间产生的优势碎片是C2HF4、CHF2、C2HF3、和I。
图8是表明C3F5I(CAS号431-65-2)的电子碰撞电离能(eV)对比物种浓度(托)的曲线图。在10与20eV之间产生的优势碎片是C3F5、CF3、和I。
图9是表明C3F7I(CAS号754-34-7)的电子碰撞电离能(eV)对比物种浓度(托)的曲线图。在10与20eV之间产生的优势碎片是CF3、C3F7、和I。
对比实例
将所披露的含碘蚀刻化合物的一些不含碘的类似物注入QMS中并且收集从10-100eV的数据。
图10是表明C2F6(CAS号76-16-4)的电子碰撞电离能(eV)对比物种浓度(托)的曲线图。在10与20eV之间产生的优势碎片是CF3、C3F7、和I。
图11是表明C3F8(CAS号76-19-7)的电子碰撞电离能(eV)对比物种浓度(托)的曲线图。
当与产生图5-9的类似的C2和C3化合物的优势物种所需要的电离能相比时,图10-11的优势CF3物种在更高的电离能下被活化。诸位申请人认为,以较低的能量解离所披露的含碘蚀刻化合物的能力提供了蚀刻物种的增加的解离以及对于蚀刻工艺更低的所需等离子体能量。
实例2
在此实例中,使用Lam 4520XLe 200mm双重CCP蚀刻器在具有四种不同基板材料(包括SiO、SiN、p-Si和a-C)的四个1×1cm2试样上进行蚀刻实验。使用椭偏仪和/或扫描电子显微镜(SEM)通过测量作为蚀刻时间的函数的蚀刻厚度的变化来测量沉积和/或蚀刻速率。将试样放置在200mm直径的载体晶片上,并通过使用从2spi制造商获得的双面碳带保持接触。可替代地,已可以使用热膏将试样粘贴在载体晶片上。
沉积测试在30毫托和750W(27MHz)的源功率下在1×1cm2 Si试样上进行,在基板处没有偏压功率。工艺进料混合物含有250sccm的Ar和15sccm的蚀刻气体。然后将沉积测试样品送去X射线光电子光谱(XPS)分析以研究在基板上形成的聚合膜的类型。
蚀刻测试也在30毫托、750W(27MHz)的源功率、以及1500W(2MHz)的偏压功率下进行。进料混合物含有250sccm的Ar、15sccm的蚀刻气体,而O2在0至15sccm范围内变化。
图12是在沉积和蚀刻测试中应用的示例性反应器系统的示例性截面侧视图。如示出的,反应器800包括反应腔室802。在反应腔室802的内部,被附接在底部电极804的顶部上的晶片806可以被放置在反应腔室802的底部部分中,并且硅顶部电极喷头808可以被放置在反应腔室802的顶部部分上。底部电极804可以是具有对其施加的偏压功率的静电卡盘。例如,2MHz RF偏压功率可以施加到底部电极804上。晶片806可以具有需要被蚀刻的多个层。硅顶部电极喷头808在该喷头中具有多个孔洞810,气体通过这些孔洞。气体可以通过气体入口812引入反应腔室802中,并且然后通过喷头808中的孔洞810用于均匀气体分布。源功率可以被施加到硅顶部电极喷头808上。例如,可以将27MHz RF源功率施加到硅顶部电极喷头808上。在硅顶部电极喷头808与底部电极804之间是等离子体区域。通过喷头808中的孔洞810的气体可以在该等离子体区域中电离,并且然后在晶片806上进行蚀刻。气体可以通过将这些气体从出口814抽出反应腔室802而被移除。
图13是表明用CF3I和O2的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图。在图13中,y轴表示蚀刻速率;x轴是以sccm计的O2流速;将CF3I流速固定在15sccm,而O2流速从0变化至20sccm。
图14是表明用C2F3I和O2的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图。在图14中,正y轴表示蚀刻速率而负y轴表示沉积速率;x轴是以sccm计的O2流速;将C2F3I流速固定在15sccm,而O2流速从0变化至10sccm。
图15是表明用C2F5I和O2的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图。在图15中,y轴表示蚀刻速率;x轴是以sccm计的O2流速;将C2F5I流速固定在15sccm,而O2流速从0变化至20sccm。
图16是表明用C2HF4I和O2的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图。在图16中,正y轴表示蚀刻速率而负y轴表示沉积速率;x轴是以sccm计的O2流速;将C2HF4I流速固定在15sccm,而O2流速从0变化至15sccm。
图17是表明用C3F5I和O2的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图。在图17中,正y轴表示蚀刻速率而负y轴表示沉积速率;x轴是以sccm计的O2流速;将C3F5I流速固定在15sccm,而O2流速从0变化至15sccm。
图18是表明用C3F7I和O2的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图。在图18中,y轴表示蚀刻速率;x轴是以sccm计的O2流速;将C3F7I流速固定在15sccm,而O2流速从0变化至20sccm。
由于C-I键较弱,所以碘的添加产生了增加的碎裂。轰击表面的I离子的较低电离阈值相对于无定形碳(a-C)或多晶硅(多晶Si)产生了用于蚀刻氧化物的增加的选择性。图14、16和17来自具有H或不饱和度的聚合气体,并且示出沉积和蚀刻氧化物对a-C、多晶Si和SiN的无限选择性。而图13、15、和18的饱和分子没有展现出沉积并且因此不具有无限选择性,但仍展现出比不含碘的蚀刻气体更高的选择性。
实例3
为了提高SiO的蚀刻速率,与cC4F8的性能可比较的,将CF4添加到250sccm的Ar和15sccm的C2F3I的蚀刻气体混合物中。
图19是表明用C2F3I和CF4的SiO、SiN、p-Si和a-C的蚀刻速率的曲线图。在图19中,y轴表示蚀刻速率,并且x轴是以sccm计的CF4流量。将C2F3I流速固定在15sccm下,并且CF4流速从10变化至15sccm。
图19表明了通过将CF4添加到工艺气体混合物中,在维持对p-Si和a-C的良好选择性的同时,SiO的蚀刻速率已经增加至最高达几乎500nm/min。可以将添加剂(像CxF2x+2(x=1至5)、CxF2x(x=3至5)、CxF2x-2(x=4至5))添加到混合物中以提高氧化物蚀刻速率。
与图14相比,用CF4代替氧提高了对a-C的选择性,同时还增加了对于通过量重要的SiO2蚀刻速率。
总之,用含碘蚀刻化合物对SiO、SiN、p-Si和a-C膜进行干式蚀刻的评估示出,与现有技术的氟碳化合物相比,含碘HFC产生了氧化硅相对于氮化硅和p-Si的最高(一直到无限)的选择性。高选择性的原因可能归因于在蚀刻气体的等离子体解离期间形成低F/C含碘碎片,这导致在基板上形成保护性聚合物膜。此外,碘被电离并用类似于诸如Ar、Kr和Xe的惰性气体的重I离子轰击表面。在此呈现的蚀刻气体结果示出,它们不仅准备好用于接触蚀刻工艺,而且可能对于在含硅或含金属的基板上的其他蚀刻工艺(包括低k蚀刻工艺)是有益的。
尽管已示出且描述了本发明的实施例,但本领域技术人员可在不脱离本发明的精神或传授内容的情况下对其进行修改。本文描述的实施例只是示例性的且是非限制性的。组合物和方法的许多变化和修改是可能的且在本发明的范围内。因此,保护范围不限于本文描述的实施例,而仅受随后的权利要求书所限定,其范围应包括权利要求的主题的所有等效物。

Claims (16)

1.一种形成图案化结构的方法,该方法包括:
将含碘蚀刻化合物的蒸气引入基板上含有含硅膜的反应腔室中,其中该含碘蚀刻化合物选自由以下各项组成的组:C2H2FI、C2H3F2I、C2H4FI、C3HF4I、C3H2F3I、C3H2F5I、C3H3F4I、以及C3H4F3I;
将惰性气体引入该反应腔室中;以及
活化等离子体以产生能够从该基板蚀刻该含硅膜的经活化的含碘蚀刻化合物,以形成该图案化结构。
2.如权利要求1所述的方法,该方法进一步包括从该反应腔室中移除挥发性副产物,其中该经活化的含碘蚀刻化合物与该含硅膜反应以形成这些挥发性副产物。
3.如权利要求2所述的方法,该方法进一步包括将氧化剂引入该反应腔室中。
4.如权利要求3所述的方法,其中,该氧化剂选自由以下各项组成的组:O2、O3、CO、CO2、NO、N2O、NO2、及其组合。
5.如权利要求2所述的方法,该方法进一步包括将蚀刻气体引入该反应腔室中。
6.如权利要求5所述的方法,其中,该蚀刻气体选自由以下各项组成的组:cC4F8、cC5F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、F-C≡N、CS2、SO2、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、顺式-1,1,1,4,4,4-六氟-2-丁烯(顺式-C4H2F6)、六氟异丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟环丁烷(反式-C4H2F6)、1,1,2,2,3-五氟环丁烷(C4H3F5)、1,1,2,2-四氟环丁烷(C4H4F4)、以及顺式-1,1,2,2,3,4-六氟环丁烷(顺式-C4H2F6)。
7.如权利要求1所述的方法,其中,该惰性气体选自由以下各项组成的组:He、Ar、Xe、Kr、Ne、N2、或其组合。
8.如权利要求1至7中任一项所述的方法,其中,该含硅膜包含以下各项的层:氧化硅、氮化硅、结晶硅、低-k SiCOH、SiOCN、SiON、或其组合。
9.如权利要求8所述的方法,其中结晶硅是多晶硅。
10.如权利要求1至7中任一项所述的方法,其中,该含硅膜包含SiaObHcCdNe的层,其中a>0;b、c、d和e≥0。
11.如权利要求1至7中任一项所述的方法,其中,该含硅膜被选择性地从无定形碳层或光致抗蚀剂层蚀刻。
12.如权利要求8所述的方法,其中,该氧化硅层被选择性地从氮化硅、多晶硅或无定形碳层蚀刻。
13.如权利要求1至7中任一项所述的方法,其中,该方法在该含硅膜中产生具有在大约10:1与大约200:1之间的纵横比的孔,其中“大约”指所述值的±10%。
14.一种使对图案化掩模层的损害最小化同时在基板中形成通孔或沟槽的方法,该方法包括:
将含碘蚀刻化合物的蒸气引入反应腔室中,该反应腔室含有具有安置在其上的含硅膜的基板和安置在该含硅膜上的图案化掩模层,其中该含碘蚀刻化合物选自由C2H2FI、C2H3F2I、或C2H4FI组成的组;
将惰性气体引入该反应腔室中;以及
通过活化等离子体以形成经活化的含碘蚀刻化合物,从该基板蚀刻该含硅膜以形成该通孔或沟槽。
15.如权利要求14所述的方法,其中,该经活化的含碘蚀刻化合物产生加强该图案化掩模层的碘离子。
16.一种加强图案化掩模层同时在基板中形成通孔或沟槽的方法,该方法包括:
将含碘蚀刻化合物的蒸气引入反应腔室中,该反应腔室含有具有安置在其上的含硅膜的基板和安置在该含硅膜上的图案化掩模层,其中该含碘蚀刻化合物选自由C2H2FI、C2H3F2I、或C2H4FI组成的组;
将惰性气体引入该反应腔室中;以及
将来自该含碘蚀刻化合物的I离子注入该图案化掩模中,同时通过活化等离子体以形成经活化的含碘蚀刻化合物,从该基板蚀刻该含硅膜以形成该通孔或沟槽。
CN201780081811.0A 2016-12-30 2017-12-29 用于蚀刻半导体结构的含碘化合物 Active CN110178206B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311017020.5A CN116884838A (zh) 2016-12-30 2017-12-29 用于蚀刻半导体结构的含碘化合物

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/396,220 US10607850B2 (en) 2016-12-30 2016-12-30 Iodine-containing compounds for etching semiconductor structures
US15/396,220 2016-12-30
PCT/US2017/069085 WO2018126206A1 (en) 2016-12-30 2017-12-29 Iodine-containing compounds for etching semiconductor structures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311017020.5A Division CN116884838A (zh) 2016-12-30 2017-12-29 用于蚀刻半导体结构的含碘化合物

Publications (2)

Publication Number Publication Date
CN110178206A CN110178206A (zh) 2019-08-27
CN110178206B true CN110178206B (zh) 2023-08-18

Family

ID=59066602

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201780081811.0A Active CN110178206B (zh) 2016-12-30 2017-12-29 用于蚀刻半导体结构的含碘化合物
CN202311017020.5A Pending CN116884838A (zh) 2016-12-30 2017-12-29 用于蚀刻半导体结构的含碘化合物

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202311017020.5A Pending CN116884838A (zh) 2016-12-30 2017-12-29 用于蚀刻半导体结构的含碘化合物

Country Status (7)

Country Link
US (2) US10607850B2 (zh)
EP (1) EP3563406B1 (zh)
JP (2) JP7227135B2 (zh)
KR (2) KR102626466B1 (zh)
CN (2) CN110178206B (zh)
TW (2) TWI756330B (zh)
WO (1) WO2018126206A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US10607850B2 (en) 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10446681B2 (en) 2017-07-10 2019-10-15 Micron Technology, Inc. NAND memory arrays, and devices comprising semiconductor channel material and nitrogen
US10276398B2 (en) * 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
DE102017128070B4 (de) * 2017-08-31 2023-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Ätzen zum Verringern von Bahnunregelmässigkeiten
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
JP2019050305A (ja) * 2017-09-11 2019-03-28 東芝メモリ株式会社 プラズマエッチング方法、及び、半導体装置の製造方法
US10297611B1 (en) 2017-12-27 2019-05-21 Micron Technology, Inc. Transistors and arrays of elevationally-extending strings of memory cells
US10559466B2 (en) 2017-12-27 2020-02-11 Micron Technology, Inc. Methods of forming a channel region of a transistor and methods used in forming a memory array
US10529581B2 (en) * 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
KR102272823B1 (ko) * 2018-07-30 2021-07-02 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
JP7209567B2 (ja) * 2018-07-30 2023-01-20 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US20220051898A1 (en) * 2018-12-21 2022-02-17 Showa Denko K.K. Etching method using halogen fluoride and method for producing semiconductor
US11145504B2 (en) * 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
CN113330539A (zh) * 2019-01-23 2021-08-31 中央硝子株式会社 干蚀刻方法、干蚀刻剂及其保存容器
SG11202109169TA (en) 2019-03-22 2021-09-29 Central Glass Co Ltd Dry etching method and method for producing semiconductor device
US11521846B2 (en) 2019-12-16 2022-12-06 Taiwan Semiconductor Manufacturing Company Limited Methods for patterning a silicon oxide-silicon nitride-silicon oxide stack and structures formed by the same
FR3107280B1 (fr) * 2020-02-19 2023-01-13 Arkema France Composition comprenant un composé iodofluorocarbure
JP2021163839A (ja) * 2020-03-31 2021-10-11 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
US11538919B2 (en) 2021-02-23 2022-12-27 Micron Technology, Inc. Transistors and arrays of elevationally-extending strings of memory cells
KR20220122260A (ko) * 2021-02-26 2022-09-02 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
KR20220126045A (ko) * 2021-03-08 2022-09-15 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
JP2022141138A (ja) * 2021-03-15 2022-09-29 株式会社Screenホールディングス 基板処理方法および基板処理装置
US20230197465A1 (en) * 2021-12-17 2023-06-22 American Air Liquide,Inc. Oxygen and iodine-containing hydrofluorocarbon compound for etching semiconductor structures
US20230193460A1 (en) * 2021-12-17 2023-06-22 American Air Liquide, Inc. Deposition of iodine-containing carbon films
WO2024064526A1 (en) * 2022-09-13 2024-03-28 Lam Research Corporation Method for etching features in a stack
WO2024059467A1 (en) * 2022-09-13 2024-03-21 Lam Research Corporation Method for etching features using hf gas

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0517165A1 (en) * 1991-06-03 1992-12-09 Sony Corporation Dry etching method utilizing (SN)x polymer mask
CN1666323A (zh) * 2002-06-14 2005-09-07 蓝姆研究公司 具有改进型抗蚀剂及/或蚀刻轮廓特征的介电膜用蚀刻方法
TW200735206A (en) * 2006-03-10 2007-09-16 Philtech Inc Method of dry etching of interlayer insulation film and etching device
JP2008252139A (ja) * 2008-07-14 2008-10-16 Philtech Inc 層間絶縁膜のドライエッチング方法
CN101667609A (zh) * 2008-08-29 2010-03-10 气体产品与化学公司 二氧化硅组合物的选择性蚀刻
CN101911263A (zh) * 2008-01-04 2010-12-08 美光科技公司 蚀刻高纵横比接触的方法
JP2011071223A (ja) * 2009-09-24 2011-04-07 Ulvac Japan Ltd ドライエッチング方法
CN104885203A (zh) * 2012-10-30 2015-09-02 乔治洛德方法研究和开发液化空气有限公司 用于高纵横比氧化物蚀刻的氟碳分子
CN105580116A (zh) * 2013-09-09 2016-05-11 乔治洛德方法研究和开发液化空气有限公司 使用蚀刻气体蚀刻半导体结构的方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0854502A3 (en) * 1997-01-21 1998-09-02 Texas Instruments Incorporated Iodofluorocarbon gas for the etching of dielectric layers and the cleaning of process chambers
JPH10223614A (ja) 1997-02-12 1998-08-21 Daikin Ind Ltd エッチングガスおよびクリーニングガス
JP3559691B2 (ja) * 1997-09-04 2004-09-02 株式会社日立製作所 半導体装置の製造方法
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
JP3570903B2 (ja) 1998-09-25 2004-09-29 株式会社ルネサステクノロジ 半導体装置の製造方法
WO2002021586A1 (fr) * 2000-09-07 2002-03-14 Daikin Industries, Ltd. Gaz d'attaque à sec et procédé correspondant
KR100874813B1 (ko) 2000-11-08 2008-12-19 다이킨 고교 가부시키가이샤 드라이 에칭 가스 및 드라이 에칭 방법
JP2002319574A (ja) * 2001-04-23 2002-10-31 Nec Corp 窒化シリコン膜の除去方法
US6921725B2 (en) * 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
WO2004067152A1 (en) * 2003-01-29 2004-08-12 Showa Denko K. K. Process for decomposing fluorine compounds
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
JP4761502B2 (ja) 2004-10-07 2011-08-31 株式会社アルバック 層間絶縁膜のドライエッチング方法
US20090191715A1 (en) * 2006-03-09 2009-07-30 Toshio Hayashi Method for etching interlayer dielectric film
US8125069B2 (en) * 2006-04-07 2012-02-28 Philtech Inc. Semiconductor device and etching apparatus
US7517804B2 (en) 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20080191163A1 (en) 2007-02-09 2008-08-14 Mocella Michael T Laser-Assisted Etching Using Gas Compositions Comprising Unsaturated Fluorocarbons
US8623148B2 (en) * 2009-09-10 2014-01-07 Matheson Tri-Gas, Inc. NF3 chamber clean additive
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
WO2012124726A1 (ja) 2011-03-17 2012-09-20 日本ゼオン株式会社 エッチングガスおよびエッチング方法
US8603921B2 (en) * 2011-07-25 2013-12-10 Applied Materials, Inc. Maintaining mask integrity to form openings in wafers
US20150270135A1 (en) * 2011-09-01 2015-09-24 Tel Epion Inc. Gas cluster ion beam etching process
US8512586B2 (en) * 2011-09-01 2013-08-20 Tel Epion Inc. Gas cluster ion beam etching process for achieving target etch process metrics for multiple materials
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
JP6544215B2 (ja) * 2015-01-23 2019-07-17 セントラル硝子株式会社 ドライエッチング方法
US9659788B2 (en) * 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US10607850B2 (en) 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0517165A1 (en) * 1991-06-03 1992-12-09 Sony Corporation Dry etching method utilizing (SN)x polymer mask
CN1666323A (zh) * 2002-06-14 2005-09-07 蓝姆研究公司 具有改进型抗蚀剂及/或蚀刻轮廓特征的介电膜用蚀刻方法
TW200735206A (en) * 2006-03-10 2007-09-16 Philtech Inc Method of dry etching of interlayer insulation film and etching device
CN101911263A (zh) * 2008-01-04 2010-12-08 美光科技公司 蚀刻高纵横比接触的方法
JP2008252139A (ja) * 2008-07-14 2008-10-16 Philtech Inc 層間絶縁膜のドライエッチング方法
CN101667609A (zh) * 2008-08-29 2010-03-10 气体产品与化学公司 二氧化硅组合物的选择性蚀刻
JP2011071223A (ja) * 2009-09-24 2011-04-07 Ulvac Japan Ltd ドライエッチング方法
CN104885203A (zh) * 2012-10-30 2015-09-02 乔治洛德方法研究和开发液化空气有限公司 用于高纵横比氧化物蚀刻的氟碳分子
CN105580116A (zh) * 2013-09-09 2016-05-11 乔治洛德方法研究和开发液化空气有限公司 使用蚀刻气体蚀刻半导体结构的方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
碳化硅薄膜的ICP浅刻蚀工艺研究;刘雨涛等;《传感器与微系统》;20160220(第02期);正文全文 *

Also Published As

Publication number Publication date
EP3563406A1 (en) 2019-11-06
EP3563406A4 (en) 2020-08-26
US11430663B2 (en) 2022-08-30
JP2020515047A (ja) 2020-05-21
US20200203174A1 (en) 2020-06-25
US10607850B2 (en) 2020-03-31
KR20190093221A (ko) 2019-08-08
CN110178206A (zh) 2019-08-27
TW201825446A (zh) 2018-07-16
CN116884838A (zh) 2023-10-13
US20170178923A1 (en) 2017-06-22
WO2018126206A1 (en) 2018-07-05
KR102626466B1 (ko) 2024-01-17
KR20230070539A (ko) 2023-05-23
KR102537653B1 (ko) 2023-05-26
JP7227135B2 (ja) 2023-02-21
JP7470834B2 (ja) 2024-04-18
EP3563406B1 (en) 2024-04-24
TWI756330B (zh) 2022-03-01
JP2023053121A (ja) 2023-04-12
TW202229216A (zh) 2022-08-01

Similar Documents

Publication Publication Date Title
CN110178206B (zh) 用于蚀刻半导体结构的含碘化合物
CN107924842B (zh) 用于蚀刻半导体结构的含氮化合物
US11075084B2 (en) Chemistries for etching multi-stacked layers
KR102480249B1 (ko) 에칭 기체로 반도체 구조를 에칭하는 방법
US11798811B2 (en) Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US20230197465A1 (en) Oxygen and iodine-containing hydrofluorocarbon compound for etching semiconductor structures

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant