CN101667609A - 二氧化硅组合物的选择性蚀刻 - Google Patents

二氧化硅组合物的选择性蚀刻 Download PDF

Info

Publication number
CN101667609A
CN101667609A CN200910205725A CN200910205725A CN101667609A CN 101667609 A CN101667609 A CN 101667609A CN 200910205725 A CN200910205725 A CN 200910205725A CN 200910205725 A CN200910205725 A CN 200910205725A CN 101667609 A CN101667609 A CN 101667609A
Authority
CN
China
Prior art keywords
reative cell
containing material
silica containing
silicon
silicon substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200910205725A
Other languages
English (en)
Other versions
CN101667609B (zh
Inventor
G·M·米歇尔
S·A·莫蒂卡
A·D·约翰逊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN101667609A publication Critical patent/CN101667609A/zh
Application granted granted Critical
Publication of CN101667609B publication Critical patent/CN101667609B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及二氧化硅组合物的选择性蚀刻。一种优于硅选择性蚀刻含二氧化硅的材料的方法,该方法包括以下步骤:将具有含二氧化硅的材料层的硅衬底放置于配有能量源的反应室中;在该反应室中制造真空;向该反应室中引入包含有氟化合物、可聚合碳氟化合物和惰性气体的反应气体混合物,其中该反应气体混合物中基本不含有添加的氧;激活该能量源以在该反应室中形成等离子体激活的反应蚀刻气体混合物;和优先于硅衬底选择性蚀刻该含二氧化硅的材料。

Description

二氧化硅组合物的选择性蚀刻
相关申请的交叉引用
本专利申请要求于2008年8月29日申请的申请序列号为61/092916的美国临时专利申请的优先权。
技术领域
【0001】本申请致力于优于硅而选择性干蚀刻含二氧化硅的材料。具体说来,本发明致力于在光伏太阳能电池的制作中优先于结晶硅(掺杂的或未掺杂的)选择性干蚀刻磷硅酸盐玻璃(PSG)。
背景技术
【0002】PSG在太阳能电池制程的发射级扩散中通过将结晶硅衬底暴露在氯氧化磷(POCl3)气体形成。在氧氛围下,磷被驱入到硅中形成太阳能电池的n+发射极。在该磷扩散工艺之后,去除PSG。传统工艺中去除PSG通常采用如氢氟酸(HF)这样的湿化学剂,它将严重危害环境和操作者。
【0003】也发展了没有湿化学工艺的缺点的干等离子体蚀刻工艺来去除PSG。这种工艺通常依赖于等离子体状态下的碳氟化合物气体来在表面形成聚合物层。主要依靠形成这个聚合物层来获得PSG与硅之间的选择性,这是因为它在硅表面的生长更快的多从而防止了硅的进一步蚀刻。这种传统的干等离子体工艺通常在等离子体中使用氧来限制聚合物的形成量。然而,氧等离子体由于某些因素是存有问题的。例如,在半导体应用中,已知氧等离子体会破坏低介电材料的介电特性。而且,在其中需将PSG层从磷掺杂的硅层蚀刻的太阳能电池应用中,氧等离子体倾向于在掺杂硅表面产生二氧化硅,而它将作为绝缘体妨碍电子通过层的流动。因此,本领域需要一种可以消除上述缺陷的选择性地优于硅而蚀刻含二氧化硅的材料的工艺。
发明内容
【0004】本发明通过提供一种优先于硅选择性蚀刻含二氧化硅的材料的工艺,满足了本领域的这种需求,该工艺包括以下步骤:将具有含二氧化硅的材料层的硅衬底放置于配有能量源的反应室中;在该反应室中制造真空;向该反应室中引入包含有氟化合物、可聚合碳氟化合物和惰性气体的反应气体混合物,其中该反应气体混合物中基本不含有氧;激活该能量源以在该反应室中形成等离子体激活的反应蚀刻气体混合物;和优先于硅衬底选择性蚀刻该含二氧化硅的材料。
【0005】本发明的另一方面提供了一种优先于硅选择性蚀刻含二氧化硅的材料的方法,该方法包括以下步骤:将具有含二氧化硅的材料层的硅衬底放置于配有电极的反应室中;在该反应室中制造真空;向该反应室中引入包含有氟化合物、可聚合碳氟化合物和惰性气体的反应气体混合物,其中该反应气体混合物中基本不含有氧;为该电极提供高频电能以在该反应室中形成等离子体激活的反应蚀刻气体混合物;和优先于硅衬底选择性蚀刻该含二氧化硅的材料。
附图说明
【0006】图1显示了具有含二氧化硅材料的层的硅衬底层。
【0007】图2图示了根据本发明的工艺采用C4F6/NF3的关于二氧化硅优于硅的蚀刻选择性的评估条件;
【0008】图3图示了根据本发明的工艺采用C4F6/NF3的关于PSG优于硅的蚀刻选择性的评估条件;
【0009】图4图示概括了评估的不同蚀刻化学剂下SiO2/Si和4%PSG/Si的选择性数据;
【0010】图5图示概括了评估的不同蚀刻化学剂下SiO2、4%PSG和Si的蚀刻率数据;
【0011】图6显示了论证在蚀刻二氧化硅和硅时等离子体中NF3对C4F6的效果的一系列质谱数据;和
【0012】图7显示了根据本发明在进行选择性蚀刻时监控F和CF2物质的现场光发射谱数据。
具体实施方式
【0013】本发明提供了一种优先于硅选择性蚀刻含二氧化硅的材料的工艺,该工艺包括以下步骤:将具有含二氧化硅的材料的层的硅衬底放置于配有能量源的反应室中;在反应室中形成真空;向反应室中引入反应气体混合物,其包括氟化合物、可聚合碳氟化合物和惰性气体,其中该反应气体混合物基本不含氧;激活该能量源以在反应室中形成等离子体激活的反应蚀刻气体混合物;和优先于硅衬底选择性蚀刻该包含二氧化硅的材料。在此详细说明的本发明的该工艺采用在具有氟源的等离子体中混合的形成聚合物的碳氟化合物材料帮助优于硅而选择性蚀刻PSG或二氧化硅。本发明的独特优势是其选择性是在基本上不含有氧的等离子体环境中实现的。本文所用的短语“基本上不含有添加的氧”在其涉及等离子体环境时是指其中不添加氧的等离子体环境,虽然在反应室中根据存在的真空水平可能固有地存在一些氧,或氧可能作为蚀刻工艺的副产品生成。本发明的一个令人惊奇的方面是发现,在不含有氧的等离子体中添加氟物质同样可以导致有利的碳氟断裂和形成聚合物,它导致优先于硅选择性蚀刻PSG或二氧化硅。本发明的工艺可以应用于,例如,制作多晶太阳能电池的光伏产业中,和制作半导体器件的电子工业中。
【0014】本发明的工艺包括将具有含二氧化硅材料的层的硅衬底置于配有能量源的反应室的步骤。本文使用的术语“硅衬底”是指各种形态的硅,例如单晶硅,微晶硅,多晶硅,非晶硅和外延硅。该硅衬底可以掺杂也可以不掺杂。本文所用的术语“掺杂”在其涉及硅衬底时是指添加的可以降低层阻力的杂质。典型的这种杂质包括第III族元素,例如B(P型掺杂剂),和第V族元素,例如As、P和N(N型掺杂剂)。本文所用的术语“含有二氧化硅的材料”是指二氧化硅或任何包含二氧化硅的材料,例如,任何有机硅酸盐玻璃(OSG),磷硅酸盐玻璃(PSG),硼磷硅酸盐玻璃(BPSG),和氟硅酸盐玻璃(FSG)。图1给出了具有含二氧化硅材料层的硅衬底的例子,其中硅衬底12例如是单晶硅,层10例如是二氧化硅或PSG。将该具有含二氧化硅材料层的硅衬底置于其中的反应室可以是任何适于等离子体工艺中真空使用的反应室,其配有足以产生等离子体的能量源。
【0015】本发明的工艺还包括一旦将该具有含二氧化硅材料层的硅衬底置于反应室内,并密封好反应室后,在反应室内制造真空的步骤。在优选的实施方案中,该真空可以是使工作压力在0.1到10000mTorr,优选从1到10000mTorr,更优选的,在1到1000mTorr之间的任何值。该真空可以使用任何本领域熟知的在真空室中产生真空的泵装置来产生。
【0016】本发明的该工艺还包括向反应室引入包括氟化合物、可聚合碳氟化合物和惰性气体的反应气体混合物,基本由氟化合物、可聚合碳氟化合物和惰性气体组成的反应气体混合物,或由氟化合物、可聚合碳氟化合物和惰性气体组成的反应气体混合物,其中该反应气体混合物基本不包含氧。在该反应气体混合物中,该氟化合物提供控制聚合物形成并同时蚀刻二氧化硅的氟原子。优选的氟化合物包括NF3,F2,原位形成的F2,和F2在如氦、氩或氮这样的惰性气体中的混合物。
【0017】优选的,在反应气体混合物中的氟化合物的存在浓度1%到40%体积,更优选5%到15%体积,最优选为5%到10%体积。
【0018】本文使用的术语“可聚合碳氟化合物”是指可以在等离子体条件下聚合并在蚀刻的衬底上形成聚合物层的碳氟化合物。在该反应气体混合物中,该可聚合碳氟化合物相对于含二氧化硅的表面以更快的速度在硅表面上形成聚合物层,从而防止硅的进一步蚀刻。优选的可聚合碳氟化合物包括,例如,具有ChFi分子式的全碳氟化合物,其中,h的值是在4到6,i的值为h到2h+2。具有ChFi分子式的全碳氟化合物的例子有,但不限于,C4F8(八氟环丁烷),C5F8(八氟环戊烯),C6F6(六氟化苯)和C4F6(六氟-1,3-丁二烯)。在本发明的某些实施方案中,该可聚合碳氟化合物是具有CxFyHz分子式的碳氟氢化合物,其中x的数值范围为从1到4,z的值从1到(2x+1),y为((2x+2)-z)。具有CxFyHz,分子式的碳氟氢化合物的例子有,但不限于,CHF3(三氟甲烷),C2F5H(1,1,1,2,2-五氟乙烷),C3F7H(1,1,1,2,3,3,3-七氟丙烷)。在优选的实施方案中,该可聚合碳氟化合物为六氟-1,3-丁二烯。
【0019】优选的,在该反应气体混合物中的可聚合碳氟化合物的浓度为1%到25%体积,更优选的,为5%到15%体积,最优选为在5%到10%体积。
【0020】反应气体混合物中的惰性气体组分通常占该混合物的余量体积百分比,其作为氟化合物和可聚合碳氟化合物的稀释剂/载体。合适的惰性气体的例子有氩、氦、氮及其混合物。优选的惰性气体为氩。
【0021】优选的,反应气体混合物中的氟化合物与可聚合碳氟化合物的比率在0.1到20,更优选为0.5到2.0,最优选为1比1。
【0022】该反应气体混合物的成分可以通过多种手段传送到反应室中,例如,传统的汽缸,安全传送系统,真空传送系统,在使用点(POU)产生化学反应物和/或气体混合物的基于固体或液体的发生器。
【0023】本发明的该工艺还包括激活能量源以在反应室内形成等离子体激活的反应蚀刻气体混合物的步骤。此处,本发明的反应气体混合物暴露在一个或多个能量源下,该能量源足以产生至少能部分与介电材料反应并形成挥发物质的活性物质。该暴露步骤的能量源可包括但不限于,α粒子,β粒子,γ射线,χ射线,高能量电子,能量电子束源,紫外线(波长在10到400nm),可见光(波长在400到750nm),红外线(波长在750到105nm),微波(频率大于109Hz),射频波(频率大于104Hz)能;热,RF,DC,弧放电或电晕放电,声波能量,超声波或兆声波(megasonic)能量,以及其组合等。
【0024】在一个实施方案中,该反应气体混合物暴露在足以产生具有其中包括活性物质的等离子体的能量源中。采用这种等离子体进行蚀刻工艺的具体例子有,但不限于,等离子体蚀刻,反应离子蚀刻(RIE),电磁强化的反应离子蚀刻(MERIE),具备或不具备独立偏压电源(separate bias power)的感应耦合等离子体(ICP),变压器耦合等离子体(TCP),空心阳极型等离子体,螺旋形谐振器等离子体,具备或不具备独立偏压电源的电子回旋共振(ECR),具备或不具备独立偏压电源的射频或微波激发的高密度等离子体源等。在使用RIE工艺的实施方案中,蚀刻工艺使用电容耦合平行板反应室操作。在这些实施方案中,层状衬底(例如,图案化晶片)可以设置在反应室中的RF供能的较低电极上。在其中执行等离子体蚀刻工艺的实施方案中,蚀刻工艺使用电容耦合平行板反应室操作。在这些实施方案中,层状衬底(例如,图案化晶片)可以放置在反应室中的接地较低电极上。该衬底通过机械夹环或静电卡盘被放置在电极上。衬底的背部可以用诸如氦这样的惰性气体冷却。RF功率源可以例如是工作在13.56MHz的RF发生器,然而其他的频率也可以使用。该RF的功率密度可以从0.3到30W/cm2变化,优选为从1到16W/cm2。该混合物注入反应室的流速从10到50000标准立方厘米每分钟(sccm)变化,优选为从20到10000sccm,更优选为从25到1000sccm。
【0025】本发明的该工艺还包括优先于硅衬底选择蚀刻含二氧化硅的材料的步骤。本文使用的表示蚀刻的术语“选择性地”或“选择性”是指含二氧化硅材料的蚀刻速率优先于硅衬底的蚀刻速率的比率大于1.0。虽然理论上说该选择性越高,工艺的选择性越好,本发明的该工艺达到的典型选择性为从约1到约100,更优选的为从约5到约20,最优选为约10。选择性蚀刻在下面的例子中将进一步介绍。
【0026】一旦含二氧化硅的材料的层从硅衬底上刻蚀掉,该硅衬底将准备用于额外的工艺步骤。例如,如果该硅衬底是在太阳能电池制作中的硅衬底,可在硅衬底上沉积氮化硅层。在本发明的工艺的优选实施方案中,在不破坏真空的情形下,在同一等离子体室中蚀刻该含二氧化硅的材料并沉积氮化硅层。
【0027】该发明将参考下面的例子更详尽的说明,但应当了解本发明并不限于此。
实施例
【0028】使用的反应室是配置为电容耦合等离子体(CCP)的GaseousElectronics Conference(GEC)RF参比等离子体反应室。该标准GEC单元较低电极被定制的静电卡盘/供能电极组(Model CP100,Electrogrip公司)取代,以用于100mm(4英寸)晶片加工。在等离子体加工中,氦背面冷却气压设为4Torr。该静电卡盘组通过以20℃进入温度的再流通冷却剂冷却。该完整的RF供能电极/静电卡盘组具有150mm(6英寸)的直径。在实验中,通过RF发射器和匹配网络将功率为300W的频率在13.56MHz的RF传送到较低电极以产生等离子体。该接地高电极的中央部分是具有进料气体分布簇射头的标准GEC电极(100mm直径)。该高电极的RF导体通过真空室外部的铜带与接地反应室壁连接。该簇射头/高电极组同样通过以进入温度为20℃的再流通冷却剂冷却。在反应离子蚀刻(RIE)中,蚀刻速率强烈依赖于供能(晶片)电极上的DC自偏压和RF电压。在给定的输入功率下,接地和供能表面面积的较高比值一般会提高DC自偏电压并相应提高蚀刻速率。为了提高供能(晶片)电极的DC自偏电压,该高接地电极通过接地环孔进行扩展。在此扩展下,接地电极具有230mm的直径。接地和供能电极之间的间隙为25mm(1英寸)。反应气体的流动通过一系列质流控制器来控制,气体通过高电极上的簇射头通入到反应器中。在通过电极之间后,通过由多级干机械泵支持的510升每秒的涡轮分子泵将工艺气体和等离子体副产品经8英寸conflat侧部开口抽出反应器。该反应室基础气压约为10-6Torr。在等离子体加工中,反应室的气压通过电容压力计(MKS Baratron)测量,并由在反应器和涡轮分子泵之间的电子节流阀控制。通过级间的泵吹扫将5slm的氮气注入干机械泵中。
【0029】下面的例子使用不同浓度的C4F6和NF3的混合物来蚀刻二氧化硅,4%的PSG,和多晶硅(或硅)。
【0030】表1是使用C4F6/NF3蚀刻二氧化硅和硅的全部实验设计(DOE)。表1中的信息显示当在所述的等离子体条件下%C4F6等于5%且%NF3等于5%时,可以达到最高选择性的最佳条件。图2图示了预测基于表1信息的一系列条件的选择性的模型。该模型是通过OriginLab公司(Northampton,MA)的OriginScientific Graphing and Analysis SoftwareTM(7.5SR6版本)制作的。显而易见的,其看上去是可提供增强的SiO/Si选择性的条件的渠道。
表1
Figure A20091020572500101
【0031】表2是基于表1和图2的信息使用C4F6/NF3蚀刻4%PSG和硅的较小的DOE。表2的信息显示最高选择性的最佳条件是在所述等离子体条件下C4F6的浓度为5%且NF3的浓度为5%时。图3图示了预测基于表2信息的一系列条件的选择性的模型。该模型是通过OriginLab公司(Northampton,MA)的Origin Scientific Graphing and Analysis SoftwareTM(7.5SR6版本)制作的。显而易见的,其看上去是可提供增强的SiO/Si选择性的条件的渠道。
表2
用C4F6NF3蚀刻4%PSG和Si
压力=35m Torr,功率=300W,2分钟(除标注的以外)
Figure A20091020572500111
【0032】图4显示了一个针对SiO2/Si和4%PSG/Si的所有评估的蚀刻化学剂和所得最佳选择性的摘要图。添加C4F6到碳氟化合物等离子体(CF4)中导致选择性的增强支持了作为本发明工艺结果的增强的选择性。同样,在CF4/NF3和C4F6/NF3之间观察到的选择性的增强,强烈表明该选择性的增强是由于在不具有氧时,C4F6在等离子体条件下相对于CF4具有优异的聚合物形成能力。
【0033】图5显示了一个针对SiO2、4%PSG和Si的所有评估的蚀刻化学剂和所得最佳蚀刻速率(相对于最好选择性)的摘要图。重要的是要注意到,由于更高的聚合物形成速率,在C4F6化学剂下硅的蚀刻速率相对于PSG和SiO2降低了。
【0034】图6和图7显示了通过现场仪器测量的C4F6/NF3、SiO2和Si的数据。这些图中的数据显示在等离子体(不含添加的氧)中添加NF3到C4F6中将改变等离子体中C4F6的破裂。不意图限于任何特定理论,上述例子表明使用NF3将减低较小C4F6片段的数量,它进而会影响C4F6在二氧化硅或硅薄膜表面上的聚合,并因此影响蚀刻速率和选择性。

Claims (14)

1.一种优先于硅而选择性蚀刻含二氧化硅的材料的方法,该方法包括以下步骤:
将具有含二氧化硅的材料层的硅衬底放置于配有能量源的反应室中;
在该反应室中制造真空;
向该反应室中引入包含氟化合物、可聚合碳氟化合物和惰性气体的反应气体混合物,其中该反应气体混合物中基本不含有添加的氧;
激活该能量源以在该反应室中形成等离子体激活的反应蚀刻气体混合物;和
优先于硅衬底而选择性蚀刻该含二氧化硅的材料。
2.根据权利要求1的方法,其中该反应气体混合物中的可聚合碳氟化合物与氟化合物的比例为1∶1。
3.根据权利要求1的方法,其中该含二氧化硅的材料选自二氧化硅和有机硅酸盐玻璃组成的组。
4.根据权利要求3的方法,其中该有机硅酸盐玻璃选自磷硅酸盐玻璃和氟硅酸盐玻璃组成的组。
5.根据权利要求4的方法,其中该含二氧化硅的材料是磷硅酸盐玻璃。
6.根据权利要求3的方法,其中该含二氧化硅的材料基本上是二氧化硅。
7.根据权利要求1的方法,其中该氟化合物选自NF3、F2、原位产生的F2和F2在惰性气体中的混合物组成的组。
8.根据权利要求7的方法,其中该氟化合物是NF3
9.根据权利要求1的方法,其中该可聚合碳氟化合物是具有ChFi分子式的化合物,其中h的数值范围为从4到6,i的数值范围为h到2h+2。
10.根据权利要求9的方法,其中该可聚合碳氟化合物选自八氟环丁烷、八氟环戊烯、六氟化苯、六氟-1,3-丁二烯及其混合物组成的组。
11.根据权利要求10的方法,其中该可聚合碳氟化合物是六氟-1,3-丁二烯。
12.根据权利要求1的方法,其中该可聚合碳氟化合物是具有CxFyHz分子式的化合物,其中x的数值范围为从1到4,z的数值范围为从1到(2x+1),y为((2x+2)-z)。
13.根据权利要求12的方法,其中该可聚合碳氟化合物选自CHF3(三氟甲烷)、C2F5H(1,1,1,2,2-五氟乙烷)、C3F7H(1,1,1,2,3,3,3-七氟丙烷)及其混合物组成的组。
14.一种优先于硅而选择性蚀刻含二氧化硅的材料的方法,该方法包括以下步骤:
将具有含二氧化硅的材料层的硅衬底放置于配有电极的反应室中;
在该反应室中制造真空;
向该反应室中引入包含氟化合物、可聚合碳氟化合物和惰性气体的反应气体混合物,其中该反应气体混合物中基本不含有添加的氧;
向该电极提供高频电能量以在该反应室中形成等离子体激活的反应蚀刻气体混合物;和
优先于硅衬底而选择性蚀刻该含二氧化硅的材料。
CN200910205725.3A 2008-08-29 2009-08-28 二氧化硅组合物的选择性蚀刻 Expired - Fee Related CN101667609B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US9291608P 2008-08-29 2008-08-29
US61/092916 2008-08-29
US12/504,064 US8372756B2 (en) 2008-08-29 2009-07-16 Selective etching of silicon dioxide compositions
US12/504064 2009-07-16

Publications (2)

Publication Number Publication Date
CN101667609A true CN101667609A (zh) 2010-03-10
CN101667609B CN101667609B (zh) 2015-07-22

Family

ID=41259802

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910205725.3A Expired - Fee Related CN101667609B (zh) 2008-08-29 2009-08-28 二氧化硅组合物的选择性蚀刻

Country Status (6)

Country Link
US (1) US8372756B2 (zh)
EP (1) EP2159829A3 (zh)
KR (1) KR101049309B1 (zh)
CN (1) CN101667609B (zh)
MY (1) MY147667A (zh)
TW (1) TWI394214B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110178206A (zh) * 2016-12-30 2019-08-27 乔治洛德方法研究和开发液化空气有限公司 用于蚀刻半导体结构的含碘化合物

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8623148B2 (en) 2009-09-10 2014-01-07 Matheson Tri-Gas, Inc. NF3 chamber clean additive
CN102498581A (zh) * 2009-09-18 2012-06-13 苏威氟有限公司 在环境压力下制造用于太阳能电池的晶片的方法
US8679982B2 (en) * 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8945414B1 (en) * 2013-11-13 2015-02-03 Intermolecular, Inc. Oxide removal by remote plasma treatment with fluorine and oxygen radicals
EP2937311B1 (fr) * 2014-04-25 2019-08-21 Rolex Sa Procédé de fabrication d'un composant horloger renforcé, composant horloger et pièce d'horlogerie correspondants
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6600480B2 (ja) * 2015-04-20 2019-10-30 東京エレクトロン株式会社 被処理体を処理する方法
US10134600B2 (en) * 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
KR102504833B1 (ko) 2017-11-16 2023-03-02 삼성전자 주식회사 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법
TWI836030B (zh) * 2019-03-14 2024-03-21 美商蘭姆研究公司 使用低壓力低偏壓氘電漿的選擇性二氧化矽移除

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4687543A (en) * 1986-02-21 1987-08-18 Tegal Corporation Selective plasma etching during formation of integrated circuitry
US5021121A (en) 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide
US6171974B1 (en) 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
JPH07263415A (ja) 1994-03-18 1995-10-13 Fujitsu Ltd 半導体装置の製造方法
EP0849787A1 (de) * 1996-12-18 1998-06-24 Siemens Aktiengesellschaft Verfahren zur Herstellung einer intergrierten Schaltungsanordnung
US6849557B1 (en) * 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
JP2988455B2 (ja) * 1997-10-15 1999-12-13 日本電気株式会社 プラズマエッチング方法
US6291356B1 (en) * 1997-12-08 2001-09-18 Applied Materials, Inc. Method for etching silicon oxynitride and dielectric antireflection coatings
KR100311487B1 (ko) 1997-12-16 2001-11-15 김영환 산화막식각방법
US6565759B1 (en) * 1999-08-16 2003-05-20 Vanguard International Semiconductor Corporation Etching process
US6530380B1 (en) * 1999-11-19 2003-03-11 Chartered Semiconductor Manufacturing Ltd. Method for selective oxide etching in pre-metal deposition
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
KR100400230B1 (ko) * 2001-11-26 2003-10-01 삼성전자주식회사 점착방지막을 갖는 초소형 기계 구조체 및 그 제조 방법
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
CN101124661A (zh) * 2004-05-11 2008-02-13 应用材料公司 碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110178206A (zh) * 2016-12-30 2019-08-27 乔治洛德方法研究和开发液化空气有限公司 用于蚀刻半导体结构的含碘化合物
CN110178206B (zh) * 2016-12-30 2023-08-18 乔治洛德方法研究和开发液化空气有限公司 用于蚀刻半导体结构的含碘化合物

Also Published As

Publication number Publication date
KR20100027006A (ko) 2010-03-10
EP2159829A3 (en) 2011-10-19
US20100055921A1 (en) 2010-03-04
MY147667A (en) 2012-12-31
TW201009932A (en) 2010-03-01
KR101049309B1 (ko) 2011-07-13
EP2159829A2 (en) 2010-03-03
TWI394214B (zh) 2013-04-21
US8372756B2 (en) 2013-02-12
CN101667609B (zh) 2015-07-22

Similar Documents

Publication Publication Date Title
CN101667609B (zh) 二氧化硅组合物的选择性蚀刻
JP6875452B2 (ja) 低k及びその他の誘電体膜をエッチングするための処理チャンバ
US5300460A (en) UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
TWI296132B (en) Method of etching high aspect ratio features
KR20160075358A (ko) 선택적인 질화물 에칭
CN1770390A (zh) 使用电子附着从衬底除去物质的方法
KR102360404B1 (ko) 수소 플라즈마를 사용한 실리콘 추출 방법
WO1999019903A1 (en) Oxide etch process using a mixture of a fluorine-substituted hydrocarbon and acetylene that provides high selectivity to nitride
US6877517B2 (en) Plasma etch method for forming plasma etched silicon layer
CN202406373U (zh) 一种等离子体处理装置
CN115172131A (zh) 一种新型的高离化率等离子体制备腔体
Entley et al. Optimizing utilization efficiencies in electronegative discharges: The importance of the impedance phase angle
Entley et al. C 2 F 6/O 2 and C 3 F 8/O 2 Plasmas SiO2 Etch Rates, Impedance Analysis, and Discharge Emissions
US20230215700A1 (en) Substrate processing method and substrate processing apparatus
JP2022077710A (ja) エッチング方法
KR20010028142A (ko) 고밀도 플라즈마를 이용한 이방성 실리콘 식각 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20170626

Address after: Arizona, USA

Patentee after: Versum Materials US, LLC

Address before: American Pennsylvania

Patentee before: Air Products and Chemicals, Inc.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150722

Termination date: 20170828