CN1770390A - 使用电子附着从衬底除去物质的方法 - Google Patents

使用电子附着从衬底除去物质的方法 Download PDF

Info

Publication number
CN1770390A
CN1770390A CN200510071791.8A CN200510071791A CN1770390A CN 1770390 A CN1770390 A CN 1770390A CN 200510071791 A CN200510071791 A CN 200510071791A CN 1770390 A CN1770390 A CN 1770390A
Authority
CN
China
Prior art keywords
gas
reative cell
reactant gas
electronegative
scope
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200510071791.8A
Other languages
English (en)
Inventor
董忠
齐宾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN1770390A publication Critical patent/CN1770390A/zh
Pending legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

这里公开了一种从衬底的至少一部分除去物质的方法,该衬底为例如反应室或半导体材料。在一个方面,提供一种方法,包括:提供具有表面涂覆以物质的反应室;提供接近反应室的第一和第二电极,其中第一和第二电极位于目标区域内;向目标区域中传送包括反应性气体的气体混合物;向第一和/或第二电极提供能量以在目标区域内产生电子,其中至少一部分电子附着于至少一部分反应性气体上,从而形成带负电荷的清洗气体;用带负电荷的清洗气体与物质接触,带负电荷的清洗气体与物质反应,并形成挥发性产物;以及从反应室除去该挥发性产物。

Description

使用电子附着从衬底除去物质的方法
技术领域
本发明涉及一种从涂覆衬底的至少一部分中除去物质的方法,尤其涉及用于从衬底例如半导体材料本身上除去物质的方法。
背景技术
在半导体集成电路(IC)、光电器件、微电子机械系统(MEMS)和其他电子器件的制造中,进行薄膜淀积的多个步骤,以在例如半导体材料的衬底上构成若干完整的电路(芯片)和器件。经常用各种薄膜淀积每个衬底,其中的薄膜例如但不限于,导电膜,如钨:半导体膜,如掺杂和不掺杂的多晶硅(多-Si),掺杂和不掺杂的(本征)非晶硅(a-Si)等;介电膜,如二氧化硅(SiO2),不掺杂的硅玻璃(USG),掺杂硼的硅玻璃(BSG),掺杂磷的硅玻璃(PSG),硼磷硅酸盐玻璃(BPSG),氮化硅(Si3N4),氮氧化硅(SiON)等;低-k介电膜,如掺杂氟的硅酸盐玻璃(FSG),和掺杂碳的硅玻璃,例如“黑金刚石(Black Diamond)”。
在现代的制造工业中,通过将衬底放到处理室或反应室(reactor)中并引入进行化学反应的气体以在衬底的表面上淀积固体材料来完成薄膜淀积。通常薄膜淀积工艺的例子是化学气相淀积(CVD)。这些化学反应通常需要升高的温度(高达600℃)以克服反应活化能。另一种方法是,将射频(RF)能量耦合到真空室中以触发初级粒子成为放电状态,即等离子体。在一种的方法中,使用等离子体能量可以以较低的工艺温度和更高的效率淀积较高质量的膜。这样的工艺称为等离子体增强化学气相淀积(PECVD)。
该淀积工艺不但利于在衬底诱表面上生长膜,而且在反应室的内部表面上留下了膜和固体残余物。这些不希望有的固体残余物会改变反应室表面特性和RF功率耦合效率。这样的反应室的改变也可能导致淀积工艺性能变化以及生产量的降低。例如,在随后的淀积循环期间,聚积的固体残余物可能从反应室的内部表面剥落并淀积颗粒至晶片表面上。因此,淀积反应室的内部表面的定期清洗或室清洗是必要的以保持生产量。
对于CVD反应室,可以使用氟化学药剂进行反应室的清洗,也称为室清洗,以将固体残余物转换成可以通过真空泵从CVD反应室中抽空的挥发性气态副产物。关于这一点,反应性的氟原子(F·)自氟代化合物产生。在历史上,全氟化碳(PFCs),例如CF4和C2F6被用作等离子体激活室清洗中的反应性的氟源。不幸的是,使用全氟化碳气体用于室清洗对环境具有很大的不利影响。全氟化碳,例如CF4和C2F6,强烈地吸收红外辐射并且具有很长的大气寿命(CF4大于50,000年和C2F6大于10,000年)。结果,这些全氟化碳气体是引起全球变暖的最强力的温室气体。由于全氟化碳分子十分稳定,所以在等离子体中很难分解。换句话说,PFC分解率(DE)趋向于很低。通常的DE范围,CF4为从5%至20%,C2F6为从20%至50%。除了未破坏的送进PFC气体,全氟化碳基的室清洗典型地排放出如上所述的巨大数量的CF4。尽管估计有些变化,但一般认为自半导体制造设备排放的70%的PFC来自CVD室清洗工艺。通过半导体工业的指数生长,从半导体制造工艺中排放的PFC气体可能变成地球变暖的重要排放源。
CVD室清洗中用三氟化氮(NF3)代替全氟化碳对降低温室气体排放提供了戏剧性改进。与全氟化碳气体比较,NF3具有750年的相对短的大气寿命。当充分地最优化时,在于原处的室清洗等离子体中的NF3的分解率可以高于90%。由于NF3不含碳,所以从NF3等离子体中不会释放出CF4。等离子体概括地定义为其中巨大数目的原子和/或分子处于带电或离子化的物质状态。负电荷和正电荷的数目是相等的,且因此等离子体的全部电荷是中性的。在NF3等离子体中不会形成全球变暖的副产物。因此,在CVD室清洗中的通过用NF3代替全氟化碳气体,可以实现温室气体排放的显著下降。
目前,有三种利用NF3进行室清洗的技术平台:热、原处等离子体和远程等离子体。现有NF3-基CVD室清洗技术一般使用热或等离子体激活。热和等离子体激活NF3室清洗技术在NF3使用、氟利用和能源消耗上存在问题。在使用NF3的典型的热室清洗工艺中,需要将NF3加热到超过500℃的温度以启动NF3分子的热分解。不幸的是,某些非热能的CVD反应室,例如PECVD反应室,使用温度控制器以保持反应室处于对于有效的热NF3清洗来讲过低的低于400℃的温度。对于原处等离子体清洗,RF等离子体在反应室内部产生并且在等离子体中的高能电子通过电子碰撞使NF3分解。然而,原处等离子体,例如通过形成负离子,可变成高度负电的。当负离子作为载流子支配电子时,在反应室中该等离子体便变得不稳定和/或坍缩,从而尤其导致不完全的室清洗、差的NF3利用率和低的NF3离解率。此外,在原处清洗期间发生的高能离子轰击可引起金属部件损坏。虽然远程等离子体清洗减缓了原处清洗的不足,但是氟利用率太低,增加了该工艺的所有者的总成本。这些困难会阻碍NF3基的室清洗在产业中的广阔应用。
除了室清洗,蚀刻工艺也被广泛地用于例如IC和MEMS制造的电子器件的制造中。可从衬底上除去或蚀刻各种各样的材料。目前,使用干法和湿法蚀刻工艺。湿法蚀刻工艺使用侵蚀性的化学溶液以蚀刻材料。而湿法蚀刻已经用于产业中几十年了,化学试剂和水资源的巨大消耗、环境、健康和安全关切,以及废水处理的高成本都会造成明显的缺点。干法工艺处理包括热和等离子体蚀刻方法。在目前的干法蚀刻工艺处理中,电源消耗和反应性气体利用率是持续存在的困难。
发明内容
这里公开了一种从涂覆衬底的至少一部分中除去物质的方法。这里描述的方法可以用于从衬底的至少一部份除去物质,该衬底为用于例如在淀积或处理包括半导体材料的衬底的反应室和/或内含的任何固定装置。在可选的实施例中,这里描述的方法可以用于从衬底例如半导体材料本身上除去物质(如蚀刻)。
在一个方面,提供了一种清洗反应室的方法,包括:提供其中至少一部分反应室表面涂覆有物质的反应室;提供在反应室中或接近反应室的第一和第二电极,其中第一和第二电极位于目标区域中;将包括反应性气体的气体混合物传送到目标区域中,其中反应性气体具有大于零的电子亲合力;向第一或第二电极的至少一个提供能量以在目标区域中产生电子,其中至少一部分电子附着于至少一部分反应性气体上,从而形成带负电荷的清洗气体;用带负电荷的清洗气体接触物质,其中带负电荷的清洗气体与物质反应并形成至少一种挥发性产物;以及从反应室中除去该至少一种挥发性产物。
在另一方面,提供一种从至少一部分反应室表面除去物质的方法,包括:提供包括至少一个电极和该表面的反应室,其中该表面的至少一部份被接地(grounded);将包含反应性气体、任选一种惰性稀释气体和任选一种添加气体的气体混合物引入反应室;向该至少一个电极和/或该表面施加电压以产生电子,其中至少一部分电子附着到至少一部分反应气体上,从而形成带负电荷的清洗气体;用带负电荷的清洗气体接触物质,其中带负电荷的清洗气体与物质反应并形成至少一种挥发性产物;以及从反应室除去该至少一种挥发性产物。
在另一方面,提供一种从至少一部份反应室表面除去物质的方法,包括:在反应室外部的远程室中引进反应性气体,激活远程室中的反应性气体以形成反应性产物;提供包括至少一个电极和该表面的反应室,其中至少该表面的一部份被接地;将反应性气体、反应性物种(reactive species)和任意一种惰性稀释气体的气体混合物引入反应室;向该至少一个电极和/或该表面提供电压以产生电子,其中至少一部分电子附着于至少一部分反应性气体上,从而形成带负电荷的清洗气体;以带负电荷的清洗气体接触物质,其中带负电荷的清洗气体与物质反应并形成至少一种挥发性产物;以及从反应室除去该至少一种挥发性产物。
在再一方面,提供一种从包括半导体材料的衬底上除去物质的方法:提供一种其中至少部分表面涂覆有将被除去的物质的衬底;提供接近衬底的第一和第二电极,其中第一和第二电极位于目标区域中;向目标区域中传送含有反应性气体的气体混合物,其中反应性气体具有大于零的电子亲和力;向第一和第二电极的至少一个提供能量以在目标区域中产生电子,其中至少一部分电子附着于至少一部分反应性气体上,从而形成带负电荷的蚀刻气体;用带负电荷的清洗气体接触物质,其中带负电荷的蚀刻气体与物质反应并形成至少一种挥发性产物;以及从该目标区域除去该至少一部分挥发性产物。
具体实施方式
这里描述的方法可用于从包括半导体材料的衬底的至少一部分上除去物质(例如蚀刻)以及清洗供半导体制造的反应室和/或内含的固定装置。因此,蚀刻实施例的适合的衬底包括例如半导体材料等,而清洗实施例的适合的衬底包括例如用于CVD和/或ALD处理的反应室的表面。在这里描述的方法的两种实施例中,物质都不可以通过由电子附着形成的带负电荷的气体从衬底的至少一部分上有效地除去。将被除去的物质的本性取决于衬底的种类(例如,反应室对半导体材料)。在某些蚀刻实施例中,将被除去的物质的本性可能与衬底本身材料的相同。在这些实施例中,至少衬底的一部分可被遮掩以保护衬底表面的该部分使其留下。
术语“衬底”表示一种在其基底上将沉积物质的固态材料。衬底可包括但不限于,在反应室和/或内含的任何固定装置中的表面的至少一部分,或可选择地,包括半导体材料。在之后的实施方案中,可以使用的适合的衬底包括但不限于,半导体材料例如砷化镓(“GaAs”)、氮化硼(“BN”)硅,和含有硅的合成物例如晶体硅、多晶体硅、多晶硅、非晶硅、外延硅、二氧化硅(“SiO2”),碳化硅(“SiC”)、碳氧化硅(“SiOC”)、氮化硅(“SiN”)、碳氮化硅(“SiCN”)、有机硅酸盐玻璃(“OSG”)、有机氟硅酸盐玻璃(“OFSG”)、氟硅酸盐玻璃(“FSG”),和其他适当的衬底或其包括那些掺杂有某些元素的混合物,例如但不限于,磷、硼、砷和镓。衬底可进一步包括各种将膜涂覆到其上的层,例如抗反射涂覆层、光刻胶层、有机聚合物层、氟碳聚合物层、多孔的有机或无机材料层、如铜或铝的金属层,或如TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN、TiSiN、TaSiN、SiCN、TiSiCN、TaSiCN或W(C)N的扩散阻挡层。在某些实施方案中,该方法除去非挥发性物质,例如但不限于,W、Ti、SiO2、TiO2、SiON、SiC、有机硅酸盐玻璃、掺杂氟的硅酸盐玻璃、多孔低介电常数材料、多晶硅、非晶硅,SiN、WN、Al2O3、HfO2、ZrO2、HfSiO4、锶铋钽铁矿(SBT)、钛酸锶钡(BST)、钛酸锆磷(PZT)、处理残余物如在蚀刻后(post-etch)或离子注入后光致抗蚀剂材料以及侧壁钝化膜、或这里描述的在至少衬底的一部分上用作半导体材料或沉积于其上的膜的任何材料。
将被除去的物质从非挥发性材料转变为可以通过反应室真空泵或其他装置轻易除去的挥发性产物。如这里使用的术语“挥发性产物”涉及到在将被除去的物质和带负电荷的蚀刻气体之间的反应产物和反应副产物。因此可以通过在足以与物质反应并形成挥发性产物的情况下,使物质与带负电荷的蚀刻气体接触而从衬底的至少一部分除去该物质。
用尤其包括反应性气体的气体混合物处理具有将被除去的物质的衬底。如这里使用的术语“反应性气体”描述了一种气体,它具有大于0的电子亲和力,可通过电子附着加以使用和处理,并且具有能使反应性气体分子离解从而形成带负电荷气体的离解性电子附着能力。以下说明其中气体混合物包括反应性气体NF3和惰性稀释气体N2的特定实施方案。在该实施方案中,带负电荷的氟离子F-是通过在反应式(1)中所述的NF3分子的离解性附着处理形成的:
                       (1)
此时该带负电的F-离子漂移向阳极,它例如可以是反应室内的接地的内表面或半导体材料本身。在该阳极,带负电荷的离子,例如反应式(1)中的F-,可以作为之后与下面反应式(2)中的将被除去的物质如SiO2反应的活性物质,以形成一种或多种挥发性产物,例如在反应式(2)中的SiF4和O2
         (2)
作为反应(2)的副产物,自由电子在接地的阳极或半导体材料上可以被中和。在这一过程中,由于其电子亲和力的值小或为零(例如N2),所以惰性气体的作用可能很小或可以忽略。
这里描述的方法可以用于例如从包括半导体材料的衬底上选择性除去一种或多种物质。在这些晶片制造工艺中传统上使用湿剥离和/或等离子体蚀刻。对比于传统方法,带负电荷的清洗气体的使用可以提供以下优点中的至少一种:高蚀刻率;低操作成本;高生产能力;最小化的衬底损伤和污染;以及低资金成本。例如,这里描述的方法可以用来从如这里描述的半导体材料除去物质。在某些实施方案中,该将被除去的物质可以包括但不限于,硅或含硅的介电材料,如W、Al、WN、Ta、TaN的金属和导体,如光致抗蚀剂的有机材料和如SILKTM或VELOXTM的低-k介电材料。该蚀刻工艺可以用于通过构图和/或各向异性蚀刻从晶片的选择区域除去、或为了平面化、剥离/灰化抗蚀剂和晶片清洗从整个晶片除去。
这里公开的方法可用于各种室清洗或蚀刻工艺。例如,在一个实施方案中,它能用作代替传统的原处等离子体或热室清洗或蚀刻方法的室清洗方法。在该实施方案中,包括反应性气体、任选一种惰性稀释气体和/或任选一种添加气体(additive gas)的气体混合物,通过在反应室内部的电子附着能形成带负电荷的清洗气体,在某些实施方案中该反应室内可装有半导体材料。可以用室内部的电子发射电极作为阴极并可将该室室壁接地以作为阳极。在这个或其他实施方案中,该带有将被除去的物质的半导体材料也可以作为阳极。当将例如DC电压的能量源施加到两个电极之间时,可为例如从0至10eV不等的低能电子便从电子发射电极发射出来,并沿着电场漂移至接地的室壁或半导体材料。在该电子漂移期间,某些反应性气体分子会捕获电子并形成含有然后作为活性形式离子的带负电荷的清洗气体。这些气体的电子附着作用为放热反应。由于电场漂移,在淀积反应室内表面或半导体材料上该带负电荷的清洗气体此时可以被优先吸收,并因此提高了反应性气体的效率和除去率。而且,使用相对低能量、带负电荷清洗气体的电子附着处理,可使对该室和内含的任何固定装置的损伤,或使通常由高能正离子轰击引起的对半导体材料的损伤最小化。
在一可选实施方案中,该方法可以用于增强远程等离子体清洗或蚀刻。如这里使用的,术语“远程等离子体”涉及到如在反应室外部的远程室中的等离子体的产生。在远程等离子体清洗或蚀刻中,用例如但不限于相对高的功率范围(例如,100至14,000W)的RF或微波源能源,通过使用例如这里公开的任一种反应性气体,在远程室中,产生含有反应性物种的强的等离子体。在这些实施方案中,气体混合物可以包括反应性物种,即,在电子附着以形成带负电荷的清洗气体之前在远程室中被激活的反应性离子或反应性原子。在这些实施方案中,反应性物种和/或反应性气体分子的电子附着可以增强用于室清洗或蚀刻的远程产生等离子体的效率。例如,通过在远程等离子体产生器下游应用电子附着处理,由该远程等离子体器出来的如F原子和/或F2分子的中性反应性物种将形成带负电荷的离子,它们能作为清洗在淀积室内部的淀积残余物的活性剂,在某些实施方案中淀积室含有半导体材料。而且,如F-的负电荷反应性物种不容易复合而形成如F2的中性分子。此外,如F2的复合副产品可以转换为比其中性的对应物(F2)更活泼的F2 -。改进的清洗或蚀刻效率不仅减少了清洗时间和清洗气体使用,还降低了自室清洗处理流出排出物的洗涤负担。因此,可以降低室清洗或蚀刻工艺的所有者的整体成本(COO)。
在再一实施方案中,该方法可代替远程等离子体清洗或远程等离子蚀刻加以使用。在该实施方案中,使包括反应性气体的气体混合物通过目标区域和/或包含作为阴极和阳极的第一和第二电极的远程负离子产生器。在共同悬而未决的目前指配给本发明的受让人的美国专利申请系列号10/819,277中说明了远程负离子产生器的实例子,在这里将其全部内容并入供参考。在其中使气体混合物通过远程负离子产生器的实施方案中,远程负离子产生器的出口,与在某些实施方案中可含有半导体材料的反应室保持流体连通。
如以上讨论的,可向至少一个电极提供能量,例如第一电极以足够引起第一电极产生电子。在某些实施方案中,能源可以是电能或电压源,例如AC或DC源。也可以单独或结合任何前述的能源使用其他能源,例如电磁能源、热能源或光能源。能源可以是不变的或是交替的脉冲。在这里描述的某些实施方案中,将第一电极或代理阴极电极连接到第一电压电平,以及将第二电极或代理阳极电极连接到第二电压电平。在其他实施方案中,第一和第二电极可以在看像代理阴极和看像代理阳极之间选择。电压电平的差异产生了电位偏压。第一或第二电压电平之一可以为零,表明两个电极中任何一个都可以接地。关于这一点,第二电极可以不是真实的电极,而是接地的室壁和/或在反应室中的固定装置或是半导体材料本身。
为了通过电子附着产生带负电荷的离子,需要产生相对大数量的电子。关于这一点,可以通过各种方式产生电子,例如但不限于,阴极发射、气体放电或其结合。在这些电子产生方法中,方法的选择主要取决于产生电子的效率和能量等级。
如前面提到的,对于其中反应性气体包括含氟气体NF3的实施方案,通过电子附着的效率最高的离子形成是借助使用具有~2eV能量的自由电子完成的。在这些实施方案中,这样的低能量等级电子可以通过阴极发射和/或气体放电产生。对于涉及通过阴极发射的电子产生实施方案,这些实施方案可包括:场发射、热发射、热-场发射、光发射和电子或离子束发射。
场发射涉及通过在相对于基电极的发射电极上施加有负偏压的电场,该负偏压电场在强度上足够高以克服使电子从发射电极表面产生的能量位垒。在某些实施方案中,将DC电压施加在两个电极之间,它在从0.1至50kV,或从2至30kV的范围内。在这些实施方案中,电极之间的距离在0.1至30cm,或从0.5至5cm的范围内。
另一方面,热发射包括使用高温度以激励在发射电极中的电子并从发射电极材料的金属结合中分离电子。在某些优选实施方案中,该发射电极的温度在从800至3500℃,或从800至1500℃的范围内。通过各种方法可以将该发射电极提升到和/或保持在高温度下,例如但不限于,使AC或DC电流通过电极的直接加热;如用由加热元件、IR辐射或其结合加热的电绝缘热表面接触阴极表面的间接加热。
对于热-场放发射,电场和高温度均施加。因此,较之于纯粹的场发射和纯粹的热发射,对于产生相同量的电子热-场发射可需要较小的电场和较低的电极温度。在其中热-场发射用于电子产生的实施方案中,作为阴极的第一电极的温度可在从大气到3500℃左右的范围内,或从150至1500℃的范围内。在这些实施方案中,电压可在从0.01至20kV,或从0.1至10kV的范围内。
在其中阴极发射装置用作产生电子的实施方案中,施加到两个电极之间的电压可以是不变的或是脉冲。该电压脉冲的频率可为从0至100kHz不等。通过施加脉冲电压,可以降低两个电极之间的飞弧倾向(arcingtendency),以便提高施加电压并强化阴极发射。
如前面提到的,当第一电极相对于作为阳极的第二电极具有负偏压时,电子可以自作为阴极的第一电极产生。在某些实施方案中,第二电极是接地的室壁和/或含于反应室中的接地的固定装置。在另外的实施方案中,该第二电极可以是将被蚀刻的半导体材料。在其中阴极发射装置用于产生电子的实施方案中,电极材料可以由在处理条件下具有相对低电子发射能量或功函数和高稳定性的导电材料组成。适合的材料的实例包括镍、铱和氧化铱。在其中包括场放射的实施方案中,电极优选地达到具有大的表面曲率的几何形状,例如细线或小半径尖头,以强化电极表面附近的电场。在目前指配给本发明的受让人的共同悬而未决的美国专利申请系列号10/425,405中提供了几何形状的进一步的例子,在此将其全部并入供参考。
低能量电子也可以通过气相放电产生,其中放电电子的能量等级可借助气相压力加以调整。这些实施方案可包括热放电、光放电和各种雪崩放电,其包括辉光放电、电弧放电、火花放电和电晕放电。在这些实施方案中,用于室清洗或蚀刻的气相可含有反应性气体和用于贡献电子的惰性稀释气体,其中惰性稀释气体的电子亲和力显著低于反应性气体的电子亲和力。在包括气相放电的一个详细实施方案中,可将高频脉冲电压施加于第一和第二电极之间并且从两个电极之间的气体混合物产生电子,此后该电子漂移向阳极。在电子漂移期间,这些电子中的一些可附着在反应性气体分子上并通过电子附着形成带负电荷的离子。另外,通过惰性气体离子化也产生了一些正离子,它们然后漂移向阳极并在阳极表面被中和。
如前面提及的,包括反应性气体、任选一种惰性气体和任选一种添加气体的气体混合物一般用作室清洗或蚀刻的供给气体。在任一实施例中,具有大于0的一定电子亲和力的反应性气体可通过电子附着加以使用和处理,并具有能使反应性气体分子离解从而形成带负电荷气体的离解电子附着的能力。适合的气体的例子包括含有卤素的气体,例如但不限于,如NF3、F2、XeF2、HF等含氟气体,如CL2和HCl等含氯气体,如HBr和Br2等含溴气体,如HI和I2等含碘气体,如ClF、ClF3、HF、SF6、BrF3、BF3等混合卤素气体和具有分子式NFnCl3-n的化合物,其中n是从1至2的数,如CF4、C2F6、C3F8、C4F8等碳氟化合物,如C4F8O和COF2等含氧碳氟化合物,如六氟戊二酮(Hhfac)(CF3C(O)CH2C(O)CF3或C5H2O2F6)等含氢氧碳氟化合物,如六氟丙酮(CF3C(O)CF3)和六氯丙酮(CCl3C(O)CCl3)等含氧碳氯化合物,以及混合的氧、氢,和具有通式CαHβXγYδOε的卤素化合物,其中X和Y是卤素原子F、Cl、Br和I中的一个,α是从1至6范围内的数,β是从0至13范围内的数,γ+δ等于从1至14范围内的数,以及ε是从1至6范围内的数。还有其他的包括含氯烃和具有通式CaHbClc的氢氯烃反应性气体的例子,其中‘a’是从1至6范围内的数,‘b’是从0至13的范围内的数,‘c’是从1至14的范围内的数。特定的含氯烃和氢氯烃的例子包括,反二氯乙烯C2H2Cl2(反-LC)、顺二氯乙烯、1,1-二氯乙烯、1,1,1-三氯乙烷(C2H3Cl3)和四氯乙烯(C2Cl4)。反应性气体的再进一步的例子包括,含氢的气体、含氮的气体及其混合物,如NH3、N2+H2,碳氢化物如CH4、C3H6等,胺如NRxHy,其中‘x’是从1至3范围内的数,‘y’等于‘3-x’,以及R是包括但不限于具有从1至12个碳原子的烷基的官能团。除了前述的反应性气体,任何其他具有一定电子亲和力且为本征反应性的或可以通过电子附着形成活性物质而将固态淀积残余物转换为至少一种挥发性的产物的气体,可都适用于这里描述的方法。
在某些实施方案中,惰性稀释气体或稀释气体可以添加到气体混合物中。在这些实施方案中,惰性稀释气体具有小于气体混合物中含有的反应性气体的电子亲和力。适合的惰性稀释气体的例子包括但不限于,N2、Ar、He、Ne、Kr、Xe及其混合物。气体混合物中的惰性稀释气体的体积含量为从0至99.9%或从1至99%不等。
在某些实施方案中,该气体混合物可包括添加气体。术语“添加气体”描述了在处理条件下不能离解附着的、不同于反应性气体的气体。添加气体的例子包括,含氧气体如O2、O3、CO、CO2、NO、N2O和NO2。混合气体中添加气体的体积含量在从0至99.9%或从1至99%的范围内。
在气体混合物中的反应性气体、任选的附加气体和任选的惰性稀释气体的选择取决于将被除去的物质的种类。在被除去的物质选自例如单晶硅、多晶硅、非晶硅以及掺杂有例如硼、磷和砷元素及其组合的所述的材料的实施方案中,该气体混合物可含有一种或多种选自某些含卤素气体的反应性气体,例如F2、NF3、XeF2、CF4、C2F6、C3F8、C4F8、COF2、C12、Br2、HBr、HI、HF、CIF3、ClF、BrF3、Cl2和HCl。在这些实施方案中,气体混合物进一步可包括一种或多种添加气体例如O2和/或一种或多种惰性稀释气体如Ar和He。在其中将被除去的物质为,如SiO2、SiN、SiON、SiC等含硅介电材料、如BLACK DIAMONDTM和DEMSTM等有机硅酸盐玻璃(OSG)和掺杂氟的硅酸盐玻璃(FSG)、掺杂硼的硅酸盐玻璃(BSG)、未掺杂的硅酸盐玻璃(USG)、DEMS、如PDEMSTM和MESOELKTM的多孔低-k介电材料的实施方案中,该气体混合物可含有一种或多种选自含卤素气体的反应性气体,例如F2、NF3、XeF2、CF4、C2F6、C3F8、C4F8、COF2、C12、Br2、HBr、HI、HF、ClF3、ClF、BrF3、Cl2和HCl。在这些实施方案中,气体混合物可进一步包括一种或多种添加气体如O2,和/或一种或多种惰性稀释气体如Ar和He。在其中将被除去的物质包括,有机聚合物例如光致抗蚀剂、低-k介电材料、氟烃聚合物例如TEFLONTM、蚀刻后残余物、透明导电聚合物、和/或保护聚合物的实施方案中,该气体混合物可含有一种或多种反应性气体,选自如NH3或N2+H2的含氢和含氮的气体、如CH4或C3H6的碳氢化合物、如NRxHy的胺,其中x是从1至3范围内的数,y等于‘3-x’以及R是具有从1至12个碳原子的烷基。在其中将被除去的物质包括有机聚合物如光致抗蚀剂、低-k介电材料、氟烃聚合物、蚀刻后残余物、离子注入后残余物、透明导电聚合物和保护剂聚合物的实施方案中,该气体混合物可包含选自含卤素的气体如F2、NF3、XeF2、CF4、C2F6、C3F8、C4F8、COF2、C12、Br2、HBr、HI、HF、ClF3、ClF、BrF3、Cl2和HCl中的一种或多种反应性气体以及选自含氧气体如O2和O3中的一种或多种添加气体。在这些实施方案中,气体混合物可进一步包括惰性稀释气体如N2,Ar或He。在其中将被除去的物质为金属或导电材料的实施方案中,如W、WN、WSi、Ta、TaN、Ti、TiSi、ITO(铟锡氧化物)、Cu、Al及其组合物,该气体混合物可含有一种或多种反应性气体,其选自含卤素气体,如F2、NF3、XeF2、CF4、C2F6、C3F8、C4F8、COF2、C12、Br2、HBr、HI、HF、ClF3、ClF、BrF3、Cl2和HCl。在这些实施方案中,气体混合物可进一步包括一种或多种添加气体如O2,和/或一种或多种惰性稀释气体如Ar和He。在其中将被除去的物质为金属或导电材料的实施方案中,如W、WN、WSi、Ta、TaN、Ti、TiSi、ITO(铟锡氧化物)、Cu、Al及其组合物,该气体混合物可包含一种或多种反应性气体,其选自含氧碳氟化合物(如六氟戊二酮(Hhfac)(CF3C(O)CH2C(O)CF3,或C5H2O2F6)、含氧碳氯化合物,如六氟丙酮(CF3C(O)CF3)和六氯丙酮(CCl3C(O)CCl3)或混合卤素化合物。在其中将被除去的物质为金属氧化物、金属氮化物、金属氮氧化物、金属硅酸盐、含氮金属硅酸盐及其组合物的实施方案中,该气体混合物可含有一种或多种反应性气体,其选自含卤素的气体,如F2、NF3、XeF2、CF4、C2F6、C3F8、C4F8、COF2、C12、Br2、HBr、HI、HF、ClF3、ClF、BrF3、Cl2和HCl。在这些实施方案中,气体混合物进一步包括一种或多种添加气体如O2,和/或一种或多种惰性稀释气体如Ar和He。在其中将被除去的物质为金属氧化物、金属氮化物、金属氮氧化物、金属硅酸盐、含氮金属硅酸盐及其组合物的实施方案中,气体混合物可含有反应性气体,其选自含氧碳氟化合物(如六氟戊二酮(也称为Hhfac)(CF3C(O)CH2C(O)CF3,或C5H2O2F6)、含氧碳氯化合物如六氟丙酮(CF3C(O)CF3)和六氯丙酮(CCl3C(O)CCl3)或用通式CαHβXγYδOε表示的混合的卤素化合物,其中X和Y是卤素原子F、Cl、Br和I中的一个,α是从1至6范围内的数,β是从0至13范围内的数,γ+δ等于从1至14中的数,以及ε是从1至6中的数。在将被除去的物质为金属氧化物、金属氮化物、金属氮氧化物、金属硅酸盐、含氮金属硅酸盐及其组合物的实施方案中,气体混合物可含有反应性气体,选自具有通式CaHbClc的含氯烃或含氯碳氢化合物,其中a是从1至6范围内的数,b是从0至13范围内的数,c是从1至14范围内的数,例如反二氯乙烯C2H2Cl2(也称为反-LC)、顺二氯乙烯、1,1-二氯乙烯、1,1,1-三氯乙烷(C2H3Cl3)和四氯乙烯C2Cl4
对于给定的自由电子的数量,在由于自由电子加速度降低和/或在气体混合物中所含分子和自由电子之间碰撞概率增加而造成的增加的气体压力中电子附着的效率会增加。反应室内的压力范围为从10毫Torr至700Torr或从1Torr至700Torr。在某些实施方案中,为安全关系可以使用低于大气压压力(如700Torr),以使反应性气体引出泄漏最小化。然而,当减轻了引出气体泄漏的潜在危险时,可以将该压力增加到更高的范围,例如,高于大气压,以进一步增强电子附着处理的效率。在其他实施方案中,反应室内的压力高于目标区域内、远程离子产生器内和/或远程等离子体室内的压力,以促进带负电荷的清洗气体流动进入反应室内。
这里公开的方法可用于清洗反应室的内部和含于其中的各种固定装置的表面,例如但不限于,流体入口和出口、喷射头、工作件平台等。在这些实施方案中,室和含于其中的固定装置的表面可由各种不同的材料组成,包括金属如钛、铝、不锈钢、镍或由其构成的合金,或绝缘材料如陶瓷,例如石英或Al2O3
在某些实施方案中,这里公开的方法可以用于增强远程等离子体室清洗。在这些实施方案中,使用远程等离子体源而不是原处等离子体以产生挥发性产物,例如但不限于,远程热激活源、远程催化激活源或热和催化组合激活源。在远程等离子体清洗中,清洗气体的强烈放电在淀积室的外部发生,于是反应性物种如反应性原子和原子团便顺流流入淀积室中以使淀积残余物挥发。RF或微波源中的任一种都能产生远程等离子体源。依据能量源,可使用在100至14,000瓦特范围内的功率来激活该等离子体。在某些实施方案中,可以通过加热反应室而激活和/或增强在含有远程等离子体产生的反应性物种的带负电荷清洗气体与淀积残余物之间的反应。在这些实施方案中,通过加热反应室至足以离解一种或多种含于该反应性气体中的反应性气体的温度,可以激活和/或增强在含有远程等离子体产生的反应性物种的带负电荷清洗气体和将被除去的物质之间的反应。激活与将被除去物质的清洗反应所需要的特定温度取决于选用的反应性气体。
在远程热激活中,反应性气体首先流过加热的区域,如在将被清洗的容器外部的远程室。在远程室中,该气体通过与将被清洗反应室外部的容器中的高温接触而离解。可选择的方法包括使用催化转换器以离解反应性气体,或结合使用热加热和催化裂解以促进在气体混合物中的一种或多种反应性气体的激活。
在可选实施例方案中,通过对光子强烈曝光能离解气体混合物中的一种或多种反应性气体的分子而形成反应性原子团和原子。例如,紫外、深紫外和真空紫外辐射可以帮助断裂淀积残余物中的牢固的化学键,并离解气体混合物中的一种或多种反应性气体,从而提高了淀积残余物的除去率。对清洗处理还可以使用其他激活和增强的方式。例如,可以使用光子诱发化学反应以产生反应性物种并增强通过电子附着产生的带负电荷的清洗气体。
在某些实施方案中,在清洗操作期间,可以将反应室保持在与淀积操作期间基本上相同的操作条件下(压力和温度)。例如,在其中反应室为CVD反应室的实施方案中,使淀积气体停止流动并将其自反应室和输送管道中清除。如果需要,可把反应室体温温度转变至最佳值;然而在优选方式中,反应室温度保持在淀积工艺条件。使可包含反应性气体、惰性稀释气体和/或反应性物种的气体混合物流入反应室中。该反应性气体将物质,也就是在反应室表面上的残渣,转变为被从反应室清除的挥发性的化合物。在指定的时间后,或在反应室流出物中检测出的所形成挥发性化合物的浓度低于可接受水平之后,停止该清洗气体流动并优选地将其自反应室和输送管道清除。此时重新起动淀积气体的流动并恢复CVD淀积处理。

Claims (36)

1.一种从反应室除去物质的方法,该方法包括:
提供表面的至少一部分涂覆有物质的反应室;
提供在反应室中或接近反应室的第一和第二电极,其中第一和第二电极位于目标区域内;
将包括反应性气体的气体混合物传送到目标区域中,其中反应性气体具有大于0的电子亲和力;
向第一或第二电极的至少一个提供能量以在目标区域中产生电子,其中至少一部分的电子附着到至少一部分的反应性气体上,从而形成带负电荷的清洗气体;
用带负电荷的清洗气体接触该物质,其中带负电荷的清洗气体与该物质反应并形成至少一种挥发性产物;和
从反应室中除去该至少一种挥发性产物。
2.权利要求1的方法,其中反应性气体包括卤素。
3.权利要求2的方法,其中反应性气体是选自NF3、ClF3、ClF、SF6,全氟化碳,氟代碳氢化合物,含氧碳氟化合物,次氟酸盐,氟代过氧化物,氟代三氧化物,COF2、NOF、F2,具有分子式NFnCl3-n的化合物,其中n是从1至2范围内的数,氢氧碳氟化合物,含氯化合物,含溴化合物,含碘化合物,具有通式CαHβXγYδOε的混合氧、氢和卤素的化合物,其中X和Y是卤素原子F、Cl、Br和I中的一个,α是从1至6的范围内的数,β是从0至13的范围内的数字,γ+δ等于从1至14中的数,以及ε是从1至6范围内的数,碳氯化合物,含氯碳氢化合物,含氮和氢的化合物及其混合物中的至少一员。
4.权利要求3的方法,其中反应性气体为NF3
5.权利要求1的方法,其中气体混合物包括在远程室中激活的反应性物种。
6.权利要求1的方法,其中气体混合物进一步包括惰性稀释气体。
7.权利要求6的方法,其中惰性稀释气体包括选自氮、氦、氩、氖、氙、氪、氡及其混合物的至少一种。
8.权利要求6的方法,其中惰性稀释气体的电子亲和力小于反应性气体的电子亲和力。
9.权利要求1的方法,其中在供给步骤中的能源为选自电能源、电磁能源、热能源、电能源、光能源及其组合所组成群的至少一个能源。
10.权利要求9的方法,其中能源为电能源。
11.权利要求1的方法,其中第一电极是接地的。
12.权利要求1的方法,其中第二电极是接地的。
13.权利要求1的方法,其中目标区域位于反应室内。
14.权利要求1的方法,其中目标区域位于反应室的外部。
15.权利要求1的方法,其中通过至少一种选自阴极发射、气体放电及其组合所组成群的方法在供给步骤中产生电子。
16.权利要求15的方法,其中通过选自场发射、热发射、热-场发射、光发射和电子束发射所组成群的阴极发射方法产生电子。
17.权利要求1的方法,其中该物质为选自W、Ti、SiO2、TiO2、SiON、多晶硅、非晶硅、SiN、WN、Al2O3、HfO2、ZrO2、HfSiO4及其混合物的至少一种。
18.一种从反应室表面的至少一部分除去物质的方法,该方法包括:
提供包括至少一个电极和该表面的反应室,其中至少该表面的一部分接地;
将包括反应性气体和任选一种惰性稀释气体的气体混合物引进反应室中;
向该至少一个电极和/或表面提供电压以产生电子,其中至少一部分电子附着于至少一部分反应性气体,从而形成带负电荷的清洗气体;
用带负电荷的清洗气体接触该物质,其中带负电荷的清洗气体与该物质反应并形成至少一种挥发性产物;和
从该反应室除去至少一种挥发性产物。
19.权利要求18的方法,其中气体混合物进一步包括反应性物种。
20.权利要求18的方法,其中反应性气体是选自NF3、ClF3、ClF、SF6、全氟化碳,氟代碳氢化合物,含氧碳氟化合物,次氟酸盐,氟代过氧化物,氟代三氧化物,COF2,NOF,F2,具有分子式NFnCl3-n的化合物,其中n是从1至2范围内的数,氢氧碳氟化合物,含氯化合物,含溴化合物,含碘化合物,具有通式CαHβXγYδOε的混合氧、氢和卤素的化合物,其中X和Y是卤素原子F、Cl、Br和I中的一个,α是从1至6的范围内的数,β是从0至13的范围内的数,γ+δ等于从1至14中的数,以及ε是从1至6范围内的数,碳氯化合物,含氯碳氢化合物,含氮和氢的化合物及其混合物中的至少一员。
21.权利要求20的方法,其中反应性气体为NF3
22.权利要求18中的方法,其中该物质为选自SiO2、TiO2、SiON、W、多晶硅、非晶硅、SiN、WN、Al2O3、HfO2、ZrO2、HfSiO4及其混合物中的至少一个。
23.权利要求18的方法,其中电压在从0.01至50kV的范围内。
24.权利要求23的方法,其中电压在从0.1至30kV的范围内。
25.权利要求18的方法,其中电压是脉冲的。
26.权利要求18的方法,其中气体混合物处于从1Torr至20Psia范围内的压力。
27.权利要求18的方法,其中气体混合物包括惰性稀释气体。
28.权利要求18的方法,其中惰性稀释气体的总量在从1至99体积%范围内。
29.一种从反应室的至少一部分表面除去物质的方法,该方法包括:
向反应室外部的远程室中提供反应性气体;
激活远程室中的反应性气体以形成反应性物种;
提供包括至少一个电极和该表面的反应室,其中该至少一部分表面接地;
向反应室中引进包括反应性气体、反应性物种和任选的惰性稀释气体的气体混合物;
向该至少一个电极和/或表面提供电压以产生电子,其中至少一部分电子附着于至少一部分反应性气体上,从而形成带负电荷的清洗气体;
用带负电荷的清洗气体接触该物质,其中带负电荷的清洗气体与该物质反应并形成至少一种挥发性产物;和
从反应室除去该至少一种挥发性产物。
30.权利要求29的方法,其中使用100至14,000瓦特范围内的功率进行该激活步骤。
31.一种从包括半导体材料的衬底上除去物质的方法,该方法包括:
提供衬底,其中衬底的至少一部分表面涂覆有该物质;
提供接近衬底的第一和第二电极,其中第一和第二电极位于目标区域中;
向目标区域中传送包括反应性气体的气体混合物,其中该反应性气体具有大于0的电子亲和力;
向第一或第二电极的至少一个提供能量以在目标区域中产生电子,其中至少一部分电子附着于至少一部分反应性气体上,从而形成带负电荷的蚀刻气体;
用带负电荷的蚀刻气体与该物质接触,其中带负电荷的蚀刻气体与该物质反应并形成至少一种挥发性产物;和
自该目标区域除去该至少一种挥发性产物。
32.权利要求31的方法,其中反应性气体是选自NF3,ClF3,ClF,SF6,全氟化碳,氟代碳氢化合物,含氧碳氟化合物,次氟酸盐,氟代过氧化物,氟代三氧化物,COF2,NOF,F2,具有分子式NFnCl3-n的化合物,其中n是从1至2范围内的数,氢氧碳氟化合物,含氯化合物,含溴化合物,含碘化合物,具有通式CαHβXγYδOε的混合氧、氢和卤素的化合物,其中X和Y是卤素原子F、Cl、Br和I中的一个,α是从1至6的范围内的数,β是从0至13的范围内的数,γ+δ等于从1至14中的数,以及ε是从1至6范围内的数,碳氯化合物,含氯碳氢化合物,含氮和氢的化合物及其混合物中的至少一员。
33.权利要求31的方法,其中反应性气体进一步包括惰性稀释气体。
34.权利要求33的方法,其中惰性稀释气体包括选自氮、氦、氩、氖、氙、氪、氡及其混合物的至少一种。
35.权利要求31的方法,其中反应性气体进一步包括添加气体。
36.权利要求35的方法,其中添加气体包括选自O2、O3、CO、CO2、NO、N2O、NO2及其混合物中的至少一种。
CN200510071791.8A 2004-04-29 2005-04-29 使用电子附着从衬底除去物质的方法 Pending CN1770390A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/835450 2004-04-29
US10/835,450 US20050241670A1 (en) 2004-04-29 2004-04-29 Method for cleaning a reactor using electron attachment
US11/095580 2005-04-01

Publications (1)

Publication Number Publication Date
CN1770390A true CN1770390A (zh) 2006-05-10

Family

ID=35185844

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200510071791.8A Pending CN1770390A (zh) 2004-04-29 2005-04-29 使用电子附着从衬底除去物质的方法

Country Status (2)

Country Link
US (1) US20050241670A1 (zh)
CN (1) CN1770390A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101405855B (zh) * 2006-07-19 2010-09-15 东京毅力科创株式会社 分析方法和分析装置
CN104979188A (zh) * 2007-12-21 2015-10-14 苏威氟有限公司 用于生产微机电系统的方法
CN112840039A (zh) * 2018-10-05 2021-05-25 朗姆研究公司 处理室表面移除金属污染物

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4592867B2 (ja) * 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
US7387738B2 (en) * 2003-04-28 2008-06-17 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment for wafer bumping applications
US7897029B2 (en) * 2008-03-04 2011-03-01 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
US8361340B2 (en) * 2003-04-28 2013-01-29 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
TWI365919B (en) * 2004-12-28 2012-06-11 Tokyo Electron Ltd Film formation apparatus and method of using the same
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
JP5048352B2 (ja) * 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2013508990A (ja) * 2009-10-26 2013-03-07 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング Tftマトリックスを製造するためのエッチングプロセス
US10727050B1 (en) 2016-06-15 2020-07-28 Northrop Grumman Systems Corporation Wafer-scale catalytic deposition of black phosphorus
US11338280B2 (en) * 2020-02-03 2022-05-24 Usa Debusk Llc Catalytic reactor system treatment processes

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4910435A (en) * 1988-07-20 1990-03-20 American International Technologies, Inc. Remote ion source plasma electron gun
DE69217829T2 (de) * 1991-11-08 1997-06-12 Fujitsu Ltd Feldemissionsanordnung und Reinigungsverfahren dafür
EP0647163B1 (en) * 1992-06-22 1998-09-09 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
JP3227522B2 (ja) * 1992-10-20 2001-11-12 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5882424A (en) * 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
US6217704B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
TW507256B (en) * 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
US20030037801A1 (en) * 2001-08-27 2003-02-27 Applied Materials, Inc. Method for increasing the efficiency of substrate processing chamber contamination detection
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US6857433B2 (en) * 2002-07-22 2005-02-22 Air Products And Chemicals, Inc. Process for cleaning a glass-coating reactor using a reactive gas
US20040045577A1 (en) * 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101405855B (zh) * 2006-07-19 2010-09-15 东京毅力科创株式会社 分析方法和分析装置
CN104979188A (zh) * 2007-12-21 2015-10-14 苏威氟有限公司 用于生产微机电系统的方法
CN112840039A (zh) * 2018-10-05 2021-05-25 朗姆研究公司 处理室表面移除金属污染物

Also Published As

Publication number Publication date
US20050241670A1 (en) 2005-11-03

Similar Documents

Publication Publication Date Title
CN1770390A (zh) 使用电子附着从衬底除去物质的方法
KR100644181B1 (ko) 전자 부착을 이용하여 기판으로부터 물질을 제거하는 방법
KR100786611B1 (ko) 원격 플라스마 챔버 세척시의 자유 라디칼 개시제
US7371688B2 (en) Removal of transition metal ternary and/or quaternary barrier materials from a substrate
KR101884262B1 (ko) 실리콘 막들에 대한 선택적인 식각
EP3038142A1 (en) Selective nitride etch
US7111629B2 (en) Method for cleaning substrate surface
KR100656770B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
US20020124867A1 (en) Apparatus and method for surface cleaning using plasma
EP3090073B1 (en) Method of etching a film on a semiconductor in a semiconductor manufacturing process chamber
JPH09232299A (ja) Cvd装置のインシチュウクリーニング
US20030192569A1 (en) Fluorine process for cleaning semiconductor process chamber
US20060016783A1 (en) Process for titanium nitride removal
EP1619269A2 (en) Method for enhancing fluorine utilization
US8372756B2 (en) Selective etching of silicon dioxide compositions
CN101278072A (zh) 使用nf3除去表面沉积物的方法
TWI727992B (zh) 具有高產能之超高選擇性多晶矽蝕刻
EP1764848A2 (en) Method for removing organic electroluminescent residues from a substrate
US20050009356A1 (en) Method of manufacturing semiconductor device and method of cleaning plasma etching apparatus used therefor
CN1127425A (zh) 清除溴化物气蚀刻用真空处理室的方法
CN101593669B (zh) 等离子体处理腔室中原位紫外线处理方法及应力氮化硅膜的形成方法
Entley et al. C 2 F 6/O 2 and C 3 F 8/O 2 Plasmas SiO2 Etch Rates, Impedance Analysis, and Discharge Emissions
KR20190109210A (ko) 증착 공정 및 클리닝 공정을 연동한 하이브리드 스크러버의 운용 방법
Ooka et al. Contact-hole etching with NH3-added C5F8 pulse-modulated plasma

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication