KR100786611B1 - 원격 플라스마 챔버 세척시의 자유 라디칼 개시제 - Google Patents

원격 플라스마 챔버 세척시의 자유 라디칼 개시제 Download PDF

Info

Publication number
KR100786611B1
KR100786611B1 KR1020060062777A KR20060062777A KR100786611B1 KR 100786611 B1 KR100786611 B1 KR 100786611B1 KR 1020060062777 A KR1020060062777 A KR 1020060062777A KR 20060062777 A KR20060062777 A KR 20060062777A KR 100786611 B1 KR100786611 B1 KR 100786611B1
Authority
KR
South Korea
Prior art keywords
free radical
plasma
radical initiator
process chamber
silicon
Prior art date
Application number
KR1020060062777A
Other languages
English (en)
Other versions
KR20070006570A (ko
Inventor
지 빙
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20070006570A publication Critical patent/KR20070006570A/ko
Application granted granted Critical
Publication of KR100786611B1 publication Critical patent/KR100786611B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Abstract

본 발명은 CVD 공정 챔버와 장비의 벽, 표면 등에 형성된 불필요한 증착 부생성물로부터 CVD 공정 챔버와 장비를 원격 플라스마 세척하는 개선된 방법에 관한 것이다. 이러한 원격 세척 방법에 있어서의 개선점은 자유 라디칼 개시제를 플라스마를 생성하는데 사용되는 원격 플라스마 발생기의 하류에 제공하여, 상기 자유 라디칼 개시제가 상기 플라스마의 존재하에 자유 라디칼을 형성할 수 있다는 데에 있다.

Description

원격 플라스마 챔버 세척시의 자유 라디칼 개시제 {FREE RADICAL INITIATOR IN REMOTE PLASMA CHAMBER CLEAN}
도 1은 본 발명의 바람직한 구체예의 개요도이다.
전자공학 산업 분야에 있어, 선택된 물질을 표적 기판상에 증착하여 반도체와 같은 전자공학 부품을 제조하는 다양한 필름 증착 기술이 개발되어 왔다. 필름 증착 공정의 한 유형으로, 기체 반응물을 가열된 공정 챔버에 도입하고, 증발시킨 후, 필름을 소정의 기판상에 형성시키는 화학적 기상 증착(CVD)이 포함된다. 필름 증착 공정의 다른 유형에는, 플라스마 증강된 화학적 기상 증착(PECVD) 및 선택적 기상 증착(ALD)이 포함된다.
일반적으로, 필름을 증착하는 모든 방법은 표적 기판이 아닌 표면상에 불필요한 필름과 미립자 물질을 축적시킨다. 즉, 증착 물질이 증착 공정 중 사용되는 벽, 도구 표면, 서셉터(susceptor), 및 기타 장비상에 모이게 된다. 이러한 불필요한 고형 잔여물질은 반응기 표면 특징 및 RF 파워 커플링 효율을 변화시킬 뿐만 아니라, 증착 공정의 성능을 낮추고 반응 수율을 낮게 해준다. 더욱이, 축적된 고형 잔여물질은 증착 반응기의 내부 표면에서 떨어져 나와, 웨이퍼 표면에 증착되어 장치의 결함을 초래할 수 있다.
증착 챔버와 장비를 주기적으로 세척하여 오염을 일으키는 불필요한 증착 물질을 제거하여 이와 관련된 문제점들을 예방해야 한다는 점은 알려져 있다. 이러한 종류의 세척 조업을 종종 챔버 세척이라고 칭한다. 증착 도구를 세척하는 일반적으로 바람직한 방법에는, 퍼플루오르화 화합물(PFC), 예를 들어, C2F6, CF4, C3F8, C4F8, SF6 및 NF3를 세척제로 사용하는 것이 포함된다. 이러한 물질들은 CVD 챔버 벽과 기타 장치상의 불필요한 필름 증착 생성물과 반응하여 기체 잔여물질, 즉, 휘발성 물질을 형성한다. 그 후, 기체 잔여물질은 공정 챔버로부터 쓸려 나온다.
불필요한 증착 잔여물질의 플라스마 세척은 허용된 상업적 공정이다. 플라스마 활성화를 얻는데에는 원격 플라스마 세척 및 인시투(in situ) 플라스마 세척의 2가지 방법이 있다. 인시투 플라스마 세척의 경우, 플루오로-화합물 플라스마가 동일한 CVD 반응기 내에서 발생된다. 원격 플라스마 세척의 경우, 플라스마 챔버는 CVD 반응기의 바깥에 존재한다. 원격 플라스마 챔버 세척은 여러가지 독특한 이점을 제공한다: 낮은 CVD 반응기 손상, 높은 공급 기체 파괴 효율, 짧은 세척 시간, 및 높은 생산 처리율. 또한, 이는 저온 필름 증착에 적합하도록 고안된 반응기 시스템의 세척에 적합하며, 이 경우 인시투 플라스마 세척이 공정 장치 표면을 과도하게 에칭시킨다.
원격 플라스마 세척 잔여의 문제점 중 하나는, 플라스마 발생기 중에 형성된 자유 라디칼의 상당 부분이 공정 챔버에 도달할 때까지 비활성 형태로 재결합된다는 것이다. 따라서, 반응 기체의 상당 부분이 소비되어 낮은 이용 효율을 초래한다.
이하 참고문헌들은 반도체 제작시 필름의 증착 방법 및 증착 챔버의 세척의 대표적인 예들을 나타낸다.
US 5,421,957은 냉각 벽 CVD 챔버의 저온 세척 방법을 공개한다. 이 방법은 수분이 없는 조건하에서 인시투로 수행된다. 에피택시 실리콘, 폴리실리콘, 실리콘 니트라이드, 실리콘 옥사이드 및 난융 금속, 티타늄, 텅스텐 및 이들의 실리사이드와 같은 다양한 물질로 된 필름의 세척에는, 에칭 기체(etchant gas), 예를 들어, 트리플루오르화질소, 트리플루오르화염소, 헥사플루오르화황, 및 테트라플루오르화탄소를 사용한다. 챔버 벽을 400 내지 600℃의 온도에서 열로 NF3 에칭하는 것을 보여주고 있다.
US 5,043,299는 웨이퍼의 표면을 세척하여 세척 진공 증착 챔버에 이동시키는 마스킹된 반도체상에 텅스텐을 선택적으로 증착시키는 방법을 공개한다. 선택적인 텅스텐 CVD 공정에서, 웨이퍼 및 베이스 또는 서셉터는, 환원 기체로 H2를 사용하는 경우에는 350 내지 500℃의 온도에서 유지되며, 환원 기체로 SiH4를 사용하는 경우에는 200 내지 400℃의 온도로 유지된다. 할로겐 함유 기체, 예를 들어, BCl3는 웨이퍼상의 알루미늄 옥사이드 표면을 세척하는데 사용되며, NF3 또는 SF6은 실리콘 옥사이드를 세척하는데 사용된다. NF3 플라스마를 사용한 후 H2 플라스마를 사용하여 CVD 챔버를 세척하는 방법 또한 공개되어 있다.
GB 2,183,204 A는 CVD 증착 하드웨어, 보트, 튜브, 및 석영 웨어(quartz ware), 및 반도체 웨이퍼의 인시투 세척에 NF3를 사용하는 것에 대해 공개한다. NF3를 실리콘 니트라이드, 다결정질 실리콘, 티타늄 실리사이드, 텅스텐 실리사이드, 난융금속 및 실리사이드를 제거시키기에 충분한 시간 동안 350℃를 초과하는 온도로 가열된 반응기에 도입한다.
US 6,439,155, US 6,263,830 및 US 6,352,050('830의 분할출원)은 마이크로파 주파수 에너지를 기체에 커플링하여 라디칼을 하류 공정 챔버에 전달하는 원격 플라스마 발생기를 공개한다. 산소 및 불소 라디칼의 보다 효율적인 전달은 원-피이스의 사파이어 전송 튜브를 사용하여 공정 챔버 경로에서 라디칼의 재결합을 최소화하여 얻어진다. 한 구체예에서, 불소와 산소 라디칼은 분리되어 발생되며 공정 챔버의 상류에서 혼합된다.
WO 99/02754는 반도체 공정에 사용되는 챔버를 체척하는 방법 및 기기를 공개한다. 희석 기체는 공정 챔버에 원격으로 배열된 플라스마 발생기에 의해 생성된 라디칼의 흐름과 혼합된다. 전달되는 플라스마 중에 비활성 기체가 존재함으로써 챔버 벽과 표면의 파괴가 감소된다.
US 2004/0115936은 유전체 필름의 형성, 포토레지스트 스트립핑 및 웨이퍼와 챔버의 세척을 포함하는 반도체 장치의 제작용 기기를 공개한다.
본 발명은 CVD 공정 챔버와 장비의 벽, 표면 등에 형성된 불필요한 증착 부생성물로부터 CVD 공정 챔버와 장비를 원격 플라스마 세척하는 개선된 방법에 관한 것이다. 원격 플라스마 세척 공정에서, 반응물을 플라스마 발생기에 충전하고, 자유 라디칼의 플라스마가 반응물로부터 생성된다. 플라스마는 플라스마 발생기의 하류의 CVD 공정 챔버에 전달된다. 원격 세척 방법에 있어서의 개선점은 자유 라디칼 개시제를 CVD 공정 챔버에 전달하고, 상기 자유 라디칼 개시제가 상기 플라스마의 존재하에 자유 라디칼을 형성할 수 있다는 데에 있다. 전형적으로, 자유 라디칼 개시제는 플라스마와 조합되며, 이 조합물이 CVD 챔버로 전달된다.
본 방법을 통해 여러 이점이 얻어질 수 있으며, 이러한 이점에는 다음의 것들이 포함된다:
감소된 온도의 챔버 세척의 최적화를 통해 세척 시간을 감소시키는 능력;
불필요한 잔여물질과 반응하기 이전에 플라스마 발생기 내에 형성된 자유 라디칼의 재결합을 억제하기 위해, 자유 라디칼 개시제를 사용하여 반도체 증착 공정 챔버를 원격 플라스마 세척하는 것을 개선시키는 능력;
증착 공정 챔버 내의 불필요한 잔여물질과 반응하기 전에, 자유 라디칼 재결합, 예를 들어, 불소 원자의 재결합을 최소화시켜, 반응물의 이용 효율과 챔버 세척의 효율을 증가시키는 능력; 및
증착 공정 챔버의 유출물로부터의 반응물 방출을 감소시켜, 반응물 하적량 및 비용 부담을 감소시키고 독성 기체 방출을 감소시키는 능력.
반도체 집적 회로(IC), 광전자 장치 및 마이크로전자-기계 시스템(MEMS)의 제조시, 얇은 필름을 증착시키는 여러 단계를 수행하여 모놀리식 기판 웨이퍼 상에 여러개의 완전한 회로(칩) 및 장치를 구성한다. 각각의 웨이퍼는 종종 여러 얇은 필름; 전도체 필름, 예를 들어 텅스텐; 반도체 필름, 예를 들어, 비도핑된(undoped) 다결정질 실리콘 및 도핑된(doped) 다결정질 실리콘(폴리-Si), 도핑된 (내재성) 무정형 실리콘 및 비도핑된 (내재성) 무정형 실리콘(a-Si); 유전체 필름, 예를 들어, 실리콘 디옥사이드(SiO2), 비도핑된 실리콘 유리(USG), 붕소로 도핑된 실리콘 유리(BSG), 인으로 도핑된 실리콘 유리(PSG), 보로포스포로실리케이트 유리(BPSG), 실리콘 니트라이드(Si3N4), 실리콘 옥시니트라이드(SiON) 등; 저-k 유전체 필름, 예를 들어, 불소로 도핑된 실리케이트 유리(FSG), 및 탄소로 도핑된 실리콘 유리(CDSG), 예를 들어, "블랙 다이아몬드"로 증착된다. 얇은 필름으로의 증착은, 기판(웨이퍼)을 비워진 공정 챔버에 위치시키고, 화학 반응을 일으키는 기체를 도입하여 웨이퍼 표면상에 고체 물질을 증착시킴으로써 수행될 수 있다. 이러한 증착 공정을 화학적 기상 증착(CVD)이라고 칭하며, 원자층 증착(ALD) 및 증강된 화학적 기상 증착(PECVD)와 같은 여러 변형법이 포함된다.
전술한 바와 같이, 불필요한 증착 생성물은 증착 공정 챔버 내에 존재하는 벽 표면 및 기타 장비상에 형성된다. 반도체 제작 및 반도체 내부에 사용되는 장비 부품들을 위해 CVD 공정 챔버의 원격 플라스마 세척을 성공적으로 사용하여 왔다. 이러한 세척 방법에서, 불필요한 증착물과 반응할 수 있는 자유 라디칼을 생산하기에 적합한 반응물의 흐름을 플라스마 발생기에 충전한다. 플라스마 발생기 내에서, 자유 라디칼이 여기에 공급된 반응물로부터 생성되고, 플라스마를 함유하는 자유 라디칼은 세척될 위치로 전달되어 진다. 플라스마 발생기에 대해 약 100 내지 5000 sccm의 반응물 유속이 일반적이다.
기체 형태의 반응물이 원격 플라스마 세척 방법에 통상 사용되나, 자유 라디칼을 생성할 수 있는 다른 형태. 예를 들어, 고체 및 액체의 전구체 화합물도 사용될 수 있다. 원격 플라스마 세척을 위한 종래의 반응물은 할로겐 함유 화합물이며, 일반적으로 불소 함유 화합물이다. 이러한 불소 화합물은 플라스마 발생기에서 쉽게 반응성 자유 라디칼(예, F·)을 형성하므로, 세척에 적합하다. 대표적인 반응성 화합물에는, PFC, 예를 들어, 불소, 트리플루오르화질소, 테트라플루오로메탄, 헥사플루오로에탄, 옥타플루오로프로판, 옥타플루오로-시클로부탄, 헥사플루오르화황, 옥시디플루오라이드, 및 클로로트리플루오라이드가 포함된다.
불소 함유 반응물을 사용하여 텅스텐, 실리콘, 및 실리콘 디옥사이드를 각각 세척하는 대표적인 메카니즘을 이하 반응식으로 나타낸다:
W(s) + 6F· → WF6(g)
Si(s) + 4F· → SiF4(g)
SiO2(s) + 4F· → SiF4(g) + O2(g)
원격 플라스마 챔버 세척 방법에 사용되는 불소 함유 화합물 중, NF3가 가장 널리 사용된다. 적정 전력으로, NF3는 플라스마 발생기에서 거의 완전히 해리되어, 많은 양의 불소 원자나 자유 라디칼(F·)이 CVD 또는 증착 공정 챔버의 하류에 이송되어 불필요한 잔여물질이 제거된다. NF3의 반응성 자유 라디칼 형태로의 전환은 반응식 2NF3 → N2 + 6F·로 표현된다.
CVD 공정 챔버 또는 CVD 공정 챔버 내부의 원격 플라스마 발생기로부터 세척 위치로, 불소 원자를 전달 또는 이송하는 동안에, 플라스마 발생기 내에서 형성된 자유 라디칼의 상당 부분, 특히 불소 원자(F·)는 재결합된다. 이는 반응식 F· + F· → F2로 표현된다.
불소 분자(F2)와 같은 재결합된 분자는, 증착 잔여물질과 반응하고 공정 장치에서 제거되는데 있어서, 자유 라디칼, 예를 들어, 불소 원자(F·) 만큼 효과적이지 않다. 따라서, 자유 라디칼의 재결합, 즉, 손실은, 원격 플라스마 챔버 세척시 세척 속도와 반응물 사용에 있어서, 주된 한계나 문제점이 된다.
통상 불필요한 잔여물질과 접촉하기 이전에 플라스마에, 또는 CVD 챔버에, 또는 플라스마와 CVD 챔버 모두에, 자유 라디칼 재시제를 도입함으로써, 자유 라디칼이 이들의 비반응성 형태로 재결합하는 것을, 특히 불소 라디칼이 F2로 재결합하는 것을 억제할 수 있다는 것을 밝혔다. 자유 라디칼 개시제는 자유 라디칼, 즉, 다른 원자들과 결합하지 않는 자유 전자를 가지는 분자/원자를 형성하는 화합물이다. 자유 라디칼 개시제는 해리 반응을 통해 또는 원격 플라스마 세척 조건하에서 재결합된 자유 라디칼과 반응함으로써, 하나 이상의 자유 라디칼을 쉽게 발생하는 화합물 이여야 한다. 자유 라디칼의 예에는, F·, O·, Cl·, Br· 등이 포함된다. 이러한 자유 라디칼을 생산할 수 있는 자유 라디칼 개시제의 예에는, O3(오존), 할로겐, 예를 들어, Cl2, Br2 및 I2, 인터할로겐, 예를 들어, BrF, ClF, IF; OF 및 OF2가 포함된다.
분자 XY의 자유 라디칼 개시제 및 특이적 자유 라디칼 개시제를 사용하여, 자유 라디칼의 재결합을 방해하는 대표적인 메카니즘은 이하 반응식을 통해 자유 라디칼을 형성한다.
1. XY → X· + Y
2. 오존(O3)
O3 → O· + O2
3. 동핵(homonuclear) 할로겐 자유 라디칼 개시제 분자:
Cl2 → Cl· + Cl·
Br2 → Br· + Br·
I2 → I· + I·
4. 인터할로겐(interhalogen) 자유 라디칼 개시제 분자 XmYn(상기 화학식에서, X 및 Y는 2가지의 상이한 할로겐 원자이고, 아래 첨자인 m 및 n은 1 내지 7의 정수임):
ClF → Cl· + F·
BrCl → Br· + Cl·
IBr → I· + Br·
5. 옥시플루오라이드:
OF2 → OF· + F·
OCl2 → OCl· + Cl·
6. 다원자(polyatomic) 할라이드:
CF3I → CF3· + I·
CF3Br → CF3· + Br·
SF5Br → SF5· + Br·
SF5I → SF5· + I·
7. 하이포플루오라이트:
CF3OF → CF3· + FO·
CF2(OF)2 → CF2(OF)· + FO·→ CF2· + 2FO·
8. 플루오로페록사이드:
CF3OOCF3 → CF3O· + CF3
CF3O· → CF3· + O·
CF3OOF → CF3O· + OF·
9. 플루오로트리옥사이드:
CF3OOOCF3 → 2CF3· + O2 + O·
이러한 자유 라디칼 개시제로부터 생성된 자유 라디칼은 불소 분자, F2와 반응하여 이하 반응식을 통해 자유 불소 원자 또는 자유 라디칼을 재생성시킬 수 있다:
X· + F2 → XF + F·(이 반응식에서, XF는 추가적으로 해리되어 반응식 XF → X· + F·을 통해 다른 F·를 발생시킬 수 있음).
일부 자유 라디칼 개시제는 반응성 화합물 또는 분자, 예를 들어, F2와 직접 반응하여 이들에 대응되는 자유 라디칼, 예를 들어, 불소 원자 F·를 재생성시킬 수 있다. 예를 들어, 오존과 브롬은 직접 불소와 반응하여 이하 반응식을 통해 자유 라디칼을 생성시킬 수 있다:
O3 + F2 → O2 + OF· + F·
Br2 + F2 → BrF + F·
자유 라디칼 개시제는 폭넓은 범위로 첨가될 수 있으나, 자유 라디칼 개시제:반응물의 몰비는 일반적으로 약 0.1:1 내지 10:1이다. 10:1을 넘는 수치는 바람직하지 않다. 전형적으로, 적절한 세척 속도와 반응 효율을 유지하기에 충분한 비율로 자유 라디칼 개시제를 첨가한다. 불필요한 잔여물질의 속도나 반응 속도가 소 정의 수치 아래로 내려가면, 속도를 제한하는 문제를 해결하기 위해 자유 라디칼 개시제의 수치를 증가시킬 수 있다.
CVD 공정 챔버 및 보조 장치의 원격 플라스마 세척시 자유 라디칼의 재결합을 방해하는 방법에 대해 용이하게 이해하기 위해서는 도면을 참고하면 된다.
도 1은 전자공학 장치 생산시 사용되는 다양한 기판상에 다양한 필름을 생성하도록 고안된 CVD 공정 챔버(2)를 보여준다. 원격 플라스마 발생기(4)는 CVD 공정 챔버(2)의 상류에 위치하여 커넥터(6)와 연결된다. 펌프(8)는 CVD 공정 챔버(2)를 가압하거나 증발시키는데 사용되며, 유출물은 라인(10)을 통해 펌프(8)로부터 제거된다.
원격 세척 공정에서, 반응물, 전형적으로 NF3 또는 기타 불소 함유 화합물(12)은 라인(16)을 통해 플라스마 발생기(4)에 충전된다. 반응물의 플라스마 발생기(4)에 대한 유속은 전형적으로 100 내지 약 5000 sccm이다. CVD 공정 챔버(2) 내에서 종종 반응물이 질소나 아르곤과 같은 비활성 기체와 혼합되어, 반응 속도 및 온도를 더 잘 조절하기도 한다. 이 구체예에서, 혼합물은 아르곤 중 20%의 NF3로 구성된다. 원격 플라스마 세척 동안의 CVD 공정 챔버(2) 내의 온도 및 압력은 실온 내지 700℃이고, 1 Torr 내지 760 Torr일 것이다.
자유 라디칼 개시제 공급원, 예를 들어, 오존은 위치(16)으로부터 공급된다. 임의적으로, 위치(16)에 보유되어 있는 자유 라디칼 개시제를 위해, 활성화 에너지, 예를 들어, 마이크로파 에너지가 공급원(18)으로부터 공급될 수 있다. 자유 라 디칼 개시제는 원격 플라스마 발생기(4)의 하류에 통상 위치하는 CVD 공정 챔버(2)로 주입된다. 보다 상세하게, 자유 라디칼 개시제는 일반적으로 원격 플라스마 발생기(4)와 CVD 공정 챔버(2) 사이의 커넥터(6)에 포트(20 및/또는 22)를 통해 주입된다. 챔버 세척 방법을 위한 CVD 공정 챔버(2)의 경우, 불소 라디칼(F·)과 같은 자유 라디칼의 밀도를 증가시키기 위해, 다중 주입 포트가 자유 라디칼 개시제의 효과를 최적화하기 위해 사용된다. 불필요한 잔여물질은 불소 원자와 반응하여 휘발성 물질을 생성한다. 이러한 휘발성 물질은 라인(10)을 통해 유출물로 제거된다.
요약하여, CVD 공정 챔버에서 불소 원자(F·)와 같은 자유 라디칼의 존재를 저해시키기 위해 자유 라디칼 개시제를 사용함으로써, 챔버 세척 반응을 증강시키고, 세척 시간을 감소시키며, 생산 처리율을 증가시키고, 공급 기체 불소 이용률을 증가시키며, 공급 기체의 소비를 감소시키고, 유출물 중 F2 방출을 감소시키며, F2 유출 감퇴로 하적량을 감소시킬 수 있다. 결론적으로, 본 발명은 원격 플라스마 챔버 세척 조업시 소유비용(OCC)을 현저히 감소시킬 수 있다.

Claims (18)

  1. CVD 공정 챔버의 벽 및 표면상에 형성된 불필요한 증착 부생성물로부터 CVD 공정 챔버를 원격 플라스마 세척하는 방법으로서,
    상기 CVD 공정 챔버의 상류에 위치한 플라스마 발생기에 반응물을 충전시키는 단계;
    상기 플라스마 발생기 내의 상기 반응물로부터 자유 라디칼로 구성된 플라스마를 형성하는 단계로서, 상기 플라스마는 상기 불필요한 증착 생성물과 반응하여 이로부터 휘발성 물질을 형성시킬 수 있는 것인 단계;
    플라스마 발생기 내에 자유 라디칼을 형성할 수 있는 자유 라디칼 개시제를 제공하는 단계;
    상기 불필요한 잔여물질과 반응을 일으키고 휘발성 물질을 발생시키는 조건하에서 상기 플라스마 및 상기 자유 라디칼 개시제를 상기 CVD 공정 챔버에 전달하는 단계; 및
    상기 CVD 공정 챔버로부터 상기 휘발성 물질을 제거하는 단계
    를 포함하는 것인 방법.
  2. 제1항에 있어서, 자유 라디칼 개시제가 F·, O·, Cl·, 및 Br·로 구성된 군 중에서 선택된 자유 라디칼을 형성할 수 있는 화합물인 것인 방법.
  3. 제2항에 있어서, 반응물이 할로겐 함유 화합물인 것인 방법.
  4. 제3항에 있어서, 할로겐 함유 화합물이 불소 함유 화합물인 것인 방법.
  5. 제4항에 있어서, 불소 함유 화합물이 불소, 트리플루오르화질소, 테트라플루오로메탄, 헥사플루오로에탄, 옥타플루오로프로판, 옥타플루오로-시클로부탄, 헥사플루오르화황, 옥시디플루오라이드, 및 클로로트리플루오라이드로 구성된 군 중에서 선택되는 것인 방법.
  6. 제2항에 있어서, 불필요한 증착 생성물이 텅스텐, 비도핑된(undoped) 다결정질 실리콘(silicon), 도핑된(doped) 다결정질 실리콘, 도핑된 (내재성) 무정형 실리콘, 비도핑된 (내재성) 무정형 실리콘, 실리콘 디옥사이드, 비도핑된 실리콘 유리, 붕소로 도핑된 실리콘 유리, 인으로 도핑된 실리콘 유리, 보로포스포로실리케이트 유리, 실리콘 니트라이드, 실리콘 옥시니트라이드, 불소로 도핑된 실리케이트 유리, 및 탄소로 도핑된 실리콘 유리 중에서 선택되는 것인 방법.
  7. 제2항에 있어서, 자유 라디칼 개시제가 오존(O3), 동핵(homonuclear) 할로겐 자유 라디칼 개시제 분자, 인터할로겐(interhalogen) 자유 라디칼 개시제 분자, 옥시플루오라이드, 다원자(polyatomic) 할라이드, 하이포플루오라이트, 플루오로페록사이드, 및 플루오로트리옥사이드로 구성된 군 중에서 선택되는 것인 방법.
  8. 제7항에 있어서, 동핵 자유 라디칼 개시제 분자가 Cl2, Br2, 및 I2 중에서 선택되는 것인 방법.
  9. 제7항에 있어서, 인터할로겐 자유 라디칼 개시제 분자가 화학식 XmYn을 가지며, 상기 화학식에서 X 및 Y는 2가지의 상이한 할로겐 원자이고, 아래 첨자인 m 및 n은 1 내지 7의 정수인 것인 방법.
  10. 제9항에 있어서, 인터할로겐 할라이드가 ClF, BrCl, 및 IBr로 구성된 군 중에서 선택되는 것인 방법.
  11. 제7항에 있어서, 옥시플루오라이드가 OF2 및 OCl2로 구성된 군 중에서 선택되는 것인 방법.
  12. 제7항에 있어서, 하이포플루오라이트가 CF3OF 및 CF2(OF)2로 구성된 군 중에서 선택되는 것인 방법.
  13. 제7항에 있어서, 플루오로페록사이드가 CF3OOCF3 및 CF3OOF로 구성된 군 중에 서 선택되는 것인 방법.
  14. 제7항에 있어서, 플루오로트리옥사이드가 CF3OOOCF3인 것인 방법.
  15. 제7항에 있어서, 다원자 할라이드가 CF3I, CF3Br, SF5Br, 및 SF5I로 구성된 군 중에서 선택되는 것인 방법.
  16. 반응물을 플라스마 발생기에 충전시키는 단계, 반응물을 플라스마로 전환시키는 단계, 플라스마를 반도체 증착 공정 챔버로 전달하는 단계, 플라스마를 불필요한 잔여물질과 반응시켜 휘발성 물질을 발생시키는 단계, 휘발성 물질을 제거하는 단계를 포함하고, 플라스마를 반도체 증착 공정 챔버에 전달하기 이전에 자유 라디칼 개시제를 플라스마에 제공하는 단계를 포함하는, 반도체 증착 공정 챔버로부터 불필요한 증착 잔여물질을 원격 플라스마 세척하는 방법.
  17. 제16항에 있어서, 자유 라디칼 개시제를 반도체 증착 공정 챔버에 전달하는 단계를 포함하는 것인 방법.
  18. 제16항에 있어서, 반응물이 NF3이고, 자유 라디칼 개시제가 오존인 것인 방법.
KR1020060062777A 2005-07-08 2006-07-05 원격 플라스마 챔버 세척시의 자유 라디칼 개시제 KR100786611B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/177,078 2005-07-08
US11/177,078 US20070006893A1 (en) 2005-07-08 2005-07-08 Free radical initiator in remote plasma chamber clean

Publications (2)

Publication Number Publication Date
KR20070006570A KR20070006570A (ko) 2007-01-11
KR100786611B1 true KR100786611B1 (ko) 2007-12-21

Family

ID=37270263

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060062777A KR100786611B1 (ko) 2005-07-08 2006-07-05 원격 플라스마 챔버 세척시의 자유 라디칼 개시제

Country Status (7)

Country Link
US (1) US20070006893A1 (ko)
EP (1) EP1741803A2 (ko)
JP (1) JP2007016315A (ko)
KR (1) KR100786611B1 (ko)
CN (1) CN1891856A (ko)
SG (1) SG128671A1 (ko)
TW (1) TWI293900B (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7331321B2 (en) * 2005-07-01 2008-02-19 Gene Thompson Handheld electric starter for engines and method of use
US20070254112A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning
US8262800B1 (en) * 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100144140A1 (en) * 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US20120235058A1 (en) * 2010-09-15 2012-09-20 Ashwini Sinha Method for extending lifetime of an ion source
US20120108072A1 (en) * 2010-10-29 2012-05-03 Angelov Ivelin A Showerhead configurations for plasma reactors
TWI602283B (zh) 2012-03-27 2017-10-11 諾發系統有限公司 鎢特徵部塡充
US9315899B2 (en) 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
KR101792165B1 (ko) * 2012-12-18 2017-10-31 시스타 케미칼즈 인코포레이티드 박막 증착 반응기 및 박막 층의 반응계내 건식 세정 공정 및 방법
WO2014192062A1 (ja) * 2013-05-27 2014-12-04 株式会社アドテック プラズマ テクノロジー マイクロ波プラズマ発生装置の空洞共振器
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
JP6169666B2 (ja) * 2015-10-20 2017-07-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
US10727050B1 (en) 2016-06-15 2020-07-28 Northrop Grumman Systems Corporation Wafer-scale catalytic deposition of black phosphorus
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
KR102504833B1 (ko) 2017-11-16 2023-03-02 삼성전자 주식회사 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법
CN109868458B (zh) * 2017-12-05 2021-12-17 北京北方华创微电子装备有限公司 一种半导体设备的清洗系统及清洗方法
SG11202106864TA (en) * 2018-12-25 2021-07-29 Showa Denko Kk Adhesion removal method and film-forming method
JP7166950B2 (ja) 2019-02-07 2022-11-08 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
KR102599015B1 (ko) * 2019-09-11 2023-11-06 주식회사 테스 기판 처리 방법
KR102516340B1 (ko) * 2020-09-08 2023-03-31 주식회사 유진테크 기판 처리 장치 및 기판 처리 장치의 운용 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2183204A (en) 1985-11-22 1987-06-03 Advanced Semiconductor Mat Nitrogen trifluoride as an in-situ cleaning agent
US5043299A (en) 1989-12-01 1991-08-27 Applied Materials, Inc. Process for selective deposition of tungsten on semiconductor wafer
US5421957A (en) 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
WO1999002754A1 (en) * 1997-07-11 1999-01-21 Applied Materials, Inc. Remote plasma cleaning apparatus
US6263830B1 (en) 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4687544A (en) * 1985-05-17 1987-08-18 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6938638B2 (en) * 2000-12-28 2005-09-06 Kabushiki Kaisha Toshiba Gas circulating-processing apparatus
US20020144706A1 (en) * 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
US6767836B2 (en) * 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US20060196525A1 (en) * 2005-03-03 2006-09-07 Vrtis Raymond N Method for removing a residue from a chamber

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2183204A (en) 1985-11-22 1987-06-03 Advanced Semiconductor Mat Nitrogen trifluoride as an in-situ cleaning agent
US5043299A (en) 1989-12-01 1991-08-27 Applied Materials, Inc. Process for selective deposition of tungsten on semiconductor wafer
US5043299B1 (en) 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
US5421957A (en) 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
WO1999002754A1 (en) * 1997-07-11 1999-01-21 Applied Materials, Inc. Remote plasma cleaning apparatus
US6263830B1 (en) 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6352050B2 (en) 1999-04-12 2002-03-05 Matrix Integrated Systems, Inc. Remote plasma mixer
US6439155B1 (en) 1999-04-12 2002-08-27 Matrix Integratea Systems Inc. Remote plasma generator with sliding short tuner

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Daniel L. Flamm et al., Multiple-Etchant Loading Effect and Silicon Etching in ClF3 and Related Mixtures, Journal of Electrochemical Society, Vol.129, No.12, pp.2755-2760(1982).
J. W. Coburn et al., Some Chemical Aspects of the Fluorocarbon Plasma Etching of Silicon and Its Compounds, IBM J. RES. DEVELOP., Vol.23, No.1, pp.33-41(1979).

Also Published As

Publication number Publication date
EP1741803A2 (en) 2007-01-10
CN1891856A (zh) 2007-01-10
KR20070006570A (ko) 2007-01-11
US20070006893A1 (en) 2007-01-11
TWI293900B (en) 2008-03-01
SG128671A1 (en) 2007-01-30
TW200716269A (en) 2007-05-01
JP2007016315A (ja) 2007-01-25

Similar Documents

Publication Publication Date Title
KR100786611B1 (ko) 원격 플라스마 챔버 세척시의 자유 라디칼 개시제
KR100644181B1 (ko) 전자 부착을 이용하여 기판으로부터 물질을 제거하는 방법
US7371688B2 (en) Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
KR100448291B1 (ko) 조합 화학물을 사용해서 반도체 제조 장비를 인시튜세정하기 위한 방법 및 장치
US5403434A (en) Low-temperature in-situ dry cleaning process for semiconductor wafer
KR100760891B1 (ko) 불소 이용 강화를 위한 방법
EP3038142A1 (en) Selective nitride etch
KR100656770B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
JP2020510994A (ja) 半導体デバイス製造における酸化スズ膜
CN101278072A (zh) 使用nf3除去表面沉积物的方法
KR100732932B1 (ko) 희석 nf3를 사용하는 저온 cvd 챔버 세정
JP2002033289A (ja) 半導体プロセスチャンバの洗浄方法
KR20040021533A (ko) 반도체 기판에 막을 형성하는 방법
US20050155625A1 (en) Chamber cleaning method
KR20040007533A (ko) 챔버 내 잔여물의 2단계 플라즈마 세정
WO1999008805A1 (en) Plasma cleaning and etching methods using non-global-warming compounds
JPH09232299A (ja) Cvd装置のインシチュウクリーニング
CN1770390A (zh) 使用电子附着从衬底除去物质的方法
US20060254613A1 (en) Method and process for reactive gas cleaning of tool parts
CN101238238A (zh) 使用氟化硫从cvd/pecvd腔的内部除去表面沉积物的远程腔方法
KR100575847B1 (ko) 반도체 및 평판디스플레이 설비의 부산물 포집방법
KR100219347B1 (ko) 트리플루오로아세트산 및 유도체를 이용하는 플라즈마 부식법
WO2020167607A1 (en) Method for cleaning process chamber

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee