KR20040021533A - 반도체 기판에 막을 형성하는 방법 - Google Patents
반도체 기판에 막을 형성하는 방법 Download PDFInfo
- Publication number
- KR20040021533A KR20040021533A KR1020030058753A KR20030058753A KR20040021533A KR 20040021533 A KR20040021533 A KR 20040021533A KR 1020030058753 A KR1020030058753 A KR 1020030058753A KR 20030058753 A KR20030058753 A KR 20030058753A KR 20040021533 A KR20040021533 A KR 20040021533A
- Authority
- KR
- South Korea
- Prior art keywords
- reaction chamber
- cvd reaction
- carbon
- semiconductor substrate
- fluorine
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 102
- 239000004065 semiconductor Substances 0.000 title claims abstract description 28
- 239000000758 substrate Substances 0.000 title claims abstract description 23
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 92
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 80
- 239000001301 oxygen Substances 0.000 claims abstract description 80
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 46
- 239000011737 fluorine Substances 0.000 claims abstract description 46
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims abstract description 44
- 238000004140 cleaning Methods 0.000 claims description 83
- 239000007789 gas Substances 0.000 claims description 70
- 238000000151 deposition Methods 0.000 claims description 44
- 239000000463 material Substances 0.000 claims description 31
- 229910052799 carbon Inorganic materials 0.000 claims description 29
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 22
- 229910052786 argon Inorganic materials 0.000 claims description 22
- 229910052739 hydrogen Inorganic materials 0.000 claims description 12
- 229910052710 silicon Inorganic materials 0.000 claims description 11
- 239000011261 inert gas Substances 0.000 claims description 10
- 229910052734 helium Inorganic materials 0.000 claims description 8
- 229910052757 nitrogen Inorganic materials 0.000 claims description 6
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims description 4
- 229910052743 krypton Inorganic materials 0.000 claims description 3
- 229910052754 neon Inorganic materials 0.000 claims description 3
- GVGCUCJTUSOZKP-UHFFFAOYSA-N nitrogen trifluoride Chemical compound FN(F)F GVGCUCJTUSOZKP-UHFFFAOYSA-N 0.000 claims description 3
- 229910052724 xenon Inorganic materials 0.000 claims description 3
- 238000005229 chemical vapour deposition Methods 0.000 abstract description 70
- 238000011109 contamination Methods 0.000 abstract description 2
- 210000002381 plasma Anatomy 0.000 description 125
- 235000012431 wafers Nutrition 0.000 description 80
- 239000010408 film Substances 0.000 description 66
- 238000012545 processing Methods 0.000 description 34
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 28
- 230000008021 deposition Effects 0.000 description 22
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 13
- UOBPHQJGWSVXFS-UHFFFAOYSA-N [O].[F] Chemical compound [O].[F] UOBPHQJGWSVXFS-UHFFFAOYSA-N 0.000 description 12
- 238000011065 in-situ storage Methods 0.000 description 11
- 239000000203 mixture Substances 0.000 description 11
- 229910010271 silicon carbide Inorganic materials 0.000 description 11
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 10
- 239000000356 contaminant Substances 0.000 description 9
- 229910004298 SiO 2 Inorganic materials 0.000 description 7
- 238000012546 transfer Methods 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- 239000006227 byproduct Substances 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- 239000001307 helium Substances 0.000 description 5
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 5
- 239000012495 reaction gas Substances 0.000 description 5
- 230000001105 regulatory effect Effects 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 239000011149 active material Substances 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical class FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 4
- 238000009413 insulation Methods 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 239000010409 thin film Substances 0.000 description 4
- 150000001722 carbon compounds Chemical class 0.000 description 3
- 239000011538 cleaning material Substances 0.000 description 3
- -1 fluorocarbon compound Chemical class 0.000 description 3
- 238000001465 metallisation Methods 0.000 description 3
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 description 3
- 239000010949 copper Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 239000005431 greenhouse gas Substances 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 238000005215 recombination Methods 0.000 description 2
- 230000006798 recombination Effects 0.000 description 2
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 2
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000005108 dry cleaning Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000010304 firing Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 239000003039 volatile agent Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31633—Deposition of carbon doped silicon oxide, e.g. SiOC
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/905—Cleaning of reaction chamber
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
Abstract
본 발명은, CVD 반응 챔버를 활성산소물질로 세정하는 방법을 제공한다. 상기 활성산소물질은 또한 활성불소물질과 혼합될 수 있다. 상기 활성산소물질은 플라즈마 생성물인데, 이것은 CVD 반응 챔버 내부에서 생성되거나 또는 원격으로 생성되어 상기 CVD 반응 챔버로 인입될 수 있다.
Description
본 발명은 일반적으로 화학기상증착(CVD) 챔버를 세정하는 방법에 관한 것으로서, 보다 상세하게는, CVD로부터 활성산소물질을 이용하여 탄소함유 증착물을 세정하는 방법에 관한 것이다.
종래의 대규모 집적 (LSI) 디바이스 - 예를 들어, CPU, 메모리, 또는 시스템 LSI -에 있어서, 금속 회로배선 사이의 절연물은 이산화규소(SiH4기반 SiO2또는 TEOS 기반 SiO2) 또는 불화 실리카 유리(fluorinated silica glass)이다. 금속배선의 저항 또는 금속 배선 사이의 절연물의 커패시턴스를 감소시키게 되면 디바이스의 속도를 증가시키게 된다. 금속 배선의 저항은 알루미늄 합금 대신 전도체인 구리를 사용함으로써 감소시킬 수 있다. 절연물의 커패시턴스는 SiO2또는 관련 물질들보다는 저-k 막을 사용함으로써 감소시킬 수 있다. SiO2에 기반한 막의 유전상수는 전형적으로 대략 3.8 내지 대략 4.4 정도이다. 저-k 막의 유전 상수는 전형적으로 대략 2.0 내지 대략 3.0 정도이다. 이러한 방법들을 통해, RC 지연은 감소될 수 있고, 이에 따라 보다 빠른 디바이스의 제조가 가능하게 된다.
몇몇의 저-k 물질이 개발되어 왔다. 이러한 저-k 물질 중 하나는 탄소-도핑된 SiO2이다. 이러한 막은 전형적으로 Si, O, C, 및 H를 포함한다. 예를 들어, U.S. 특허 제6,352,945호 및 U.S.특허 제6,383,955호를 참조해라.
순수한 또는 불소-도핑된 SiO2가 CVD 반응기 내의 반도체 웨이퍼에 증착될 때, 다소의 SiO2또한 CVD 반응기의 내부 표면에 증착된다. 이 오염물질은 전형적으로 인시츄 플라즈마 세정에 의해 제거된다. 불소함유 가스는 세정 가스로 사용되는데, 이것은 CVD 챔버 내의 로컬 플라즈마 방전에 의해 활성화된다. 이러한 세정 가스에 대한 예들은 O2와 혼합된 C2F6, CF4, 및 C3F8을 포함한다.
세정 방법에서 사용되는 불화탄소는 "온실 가스"로 지칭되는데, 이는 온실 효과에 기여한다고 믿어지기 때문이다. 온실 가스의 사용을 감소시키기 위해, NF3는 원격 발생 플라즈마에서 세정 가스로 사용되어 왔다. 아르곤 가스 캐리어는 CVD 반응기와 별개인 플라즈마 챔버 내의 플라즈마 방전을 안정화시킨다. 이 방법은 U.S. 특허 제6,187,691호 및 U.S.출원 제2002/0011210A1호에 개시되어 있다.
이와 유사하게, 저-k 막이 증착된 후, CVD 반응기는 전형적으로 잔류물을 포함하는 탄소, 실리콘, 산소, 및 수소 등으로 오염된다. NF3로부터 유도된 불소함유 물질은 도관을 통해 별개의 플라즈마 챔버로부터 CVD 반응기로 인입되므로, 이러한 오염물들이 제거된다. 활성화된 물질(예를 들어, 불소 라디칼)은 이러한 오염물들과 반응하지만, 탄소함유 오염물은 CVD 챔버 내에 잔류하게 되는 불화탄소 화합물을 형성하는 반응을 한다. 저-k 유전막 증착 동안, 이 불화탄소 화합물은 휘발되어 웨이퍼 상의 막 형성에 영향을 미칠 수 있다.
이 불화탄소 오염은 바람직하지 않은 프로세스 가스 혼합물로 귀착되는데, 예를 들어, 증착속도의 감소, 각 웨이퍼의 비균일한 막 두께의 생성, 또는 순차적으로 처리되는 한 로트 내의 웨이퍼의 비균일한 막 두께의 생성에 의해 저-k 층의 증착에 불리한 영향을 미친다. 단일 웨이퍼 상의 막 비균일성은 웨이퍼의 최대 및 최소 막 두께 사이의 차를 계산하여, 웨이퍼의 평균 막 두께로 나누고, 2로 나눈 후, 100을 곱하여 얻은 백분율로 표현된다. 한 로트 또는 배치 내의 웨이퍼의 막 비균일성은 로트 내의 웨이퍼의 최대 및 최소 막 두께 사이의 차를 계산하여, 로트내의 웨이퍼의 평균 막 두께로 나누고, 2로 나눈 후, 100을 곱하여 얻은 백분율로 표현된다. 전형적으로, 첫번째 웨이퍼의 막 비균일성은 두번째 웨이퍼에 비해 더 나쁘다. 예를 들어, 하나의 25-웨이퍼 처리 주기에서, 첫번째 웨이퍼의 증착속도는 후속되는 웨이퍼의 증착속도에 비해 ±1.4%의 편차를 보이고, 첫번째 웨이퍼의 단일 웨이퍼 막 비균일성은 ±(2.7% ~ 3.5%)의 편차를 보인다.
이 비균일성은 디바이스 k-값에 영향을 미치기 때문에 바람직하지 않다. 디바이스 k는 두 개의 평행한 금속 배선 사이에 배치된 절연 유전체의 커패시턴스 측정값이다. 두 개의 평행 도전체 사이의 커패시턴스는 도전체 사이의 총단면적에 절연막의 유전상수를 곱하고 두 도전체 사이의 거리로 나눈 것이다. 예를 들어, 하나의 유전막에 의해 절연된 한 쌍의 금속 배선 사이의 커패시턴스 C = keffε(A/d)이다. 여기서, ε= 8.85 × 10-12C2/N㎡, C는 커패시턴스 측정값, A는 두 배선 사이의 총단면적(유전막 두께 t × 유효 라인 길이 Leff), d는 금속 회로 배선 사이의 거리(절연 폭), 그리고 keff는 막의 유효 유전상수이다. 그러므로, 유효 k keff= (C/ε)(d/A) 에 의해 계산해낼 수 있다. 커패시턴스 C는 유전막 두께 t에 의존하므로, keff또한 막 두께에 의존한다.
불화탄소 및 NF3플라즈마 세정 방법 양자 모두에 있어서, CVD 반응기는 비활성 가스 내의 활성불소물질에 의해 세정된다. 그러나, 이러한 방법들은 비휘발성 불화탄소 부산물을 생성시키는데, 이것이 증착 방법에서 바람직하지 않은 변형을야기시킬 수 있다.
따라서, 본 발명의 목적은, 산소 플라즈마로부터 생성된 활성산소물질을 이용하여 CVD 반응기의 반응 챔버로부터 오염물을 세정하는 방법을 제공하는 데 있다.
도 1a는 본 발명의 바람직한 실시예에 따라, 인시츄 산소 플라즈마 세정 방법을 이용하여 반응 챔버를 미리 세정하는 반도체 웨이퍼에 유전막을 증착하는 과정을 보여주는 도면,
도 1b는 본 발명의 바람직한 실시예에 따라, NF3-아르곤 플라즈마 및 인시츄 산소 플라즈마 세정 방법을 이용하여 반응 챔버를 미리 세정하는 반도체 웨이퍼에 유전막을 증착하는 과정을 보여주는 도면,
도 2a는 본 발명의 바람직한 실시예에 따라, 다운스트림 산소 플라즈마 세정 방법을 이용하여 반응 챔버를 미리 세정하는 반도체 웨이퍼에 유전막을 증착하는 과정을 보여주는 도면,
도 2b는 본 발명의 바람직한 실시예에 따라, NF3-아르곤 플라즈마 및 다운스트림 산소 플라즈마 세정 방법을 이용하여 반응 챔버를 미리 세정하는 반도체 웨이퍼에 유전막을 증착하는 과정을 보여주는 도면,
도 3은 본 발명의 바람직한 실시예에 따라, 다운스트림 산소-불소 플라즈마 세정 방법을 이용하여 반응 챔버를 미리 세정하는 반도체 웨이퍼에 유전막을 증착하는 과정을 보여주는 도면,
도 4는 본 발명의 바람직한 실시예에 따라, 인시츄 산소 플라즈마 세정 방법을 이용하여 반응 챔버를 미리 세정하는 반도체 웨이퍼에 유전막을 증착하는 과정을 보여주는 도면,
도 5는 개시된 방법을 실시하기에 적합한 PECVD 장치의 단면도이다.
* 도면의 주요 부분에 대한 부호의 설명
1 : 이송 챔버 2 : 이송 로봇
3 : 실리콘 웨이퍼 4 : 반응 챔버
5 : 서셉터 6 : 샤워해드
7, 7' : 라디오 주파수 진동자
8 : 컨덕턴스 조절 밸브 9 : 히터
10 : 플라즈마 챔버11 : 밸브
12 : RF 매칭 시스템13 : 가스 라인
14 : 가스 라인
본 발명은 예를 들어 산소 플라즈마로부터 생성된 활성산소물질을 이용하여 CVD 반응기의 반응 챔버로부터 오염물을 세정하는 방법에 관한 것이다. 이 방법은 특히 PECVD(플라즈마 강화 CVD) 반응기, 더 한정적으로 저-k 막을 포함하는 유전막을 증착하는 데 사용되는 PECVD 반응기의 세정에 적합하다. 여기에 개시된 방법은 더욱 바람직하게 Si, C, O, 및 H를 함유하는 탄소 도핑된 산화규소; Si, C, 및 H를 함유하는 탄화규소 막; Si, C, N, 및 H를 함유하는 SiCN 막 등의 탄소함유 막 증착에 사용되는 PECVD 반응기의 세정에 적합하다. PECVD 반응기는 전형적으로 웨이퍼 상에 막을 증착하는 데 사용되어 온 단일 또는 소규모 배치 기판 처리 장치이다.
산소 플라즈마 세정 방법은 한 로트의 첫번째 웨이퍼가 CVD 반응기에 로드되기 전에 1시간 이하로 수행되는 것이 바람직하다. 한 로트는 25 웨이퍼인 것이 일반적이다. 이 적용은 세정을 위해 CVD 반응 챔버에 활성산소물질을 제공하는 다음의 3 개의 실시예로 설명된다:
⑴ CVD 반응 챔버 내에서 산소 플라즈마가 생성되는 인시츄 산소 플라즈마 세정 방법;
⑵ CVD 반응 챔버와 별개인 플라즈마 챔버에서 산소 플라즈마가 생성되는 다운스트림 산소 플라즈마 세정 방법; 및
⑶ CVD 반응 챔버와 별개인 플라즈마 챔버에서 활성산소물질 및 활성불소물질이 함유된 플라즈마가 생성되는 다운스트림 산소-불소 플라즈마 세정 방법.
여기에 개시된 방법에 사용되는 CVD 반응기의 세정 주기에 필요한 시간은 증착 방법에 이용되는 조건에 의존한다. 전형적으로, 물질을 많이 증착할수록 세정 주기는 길어진다. 세정 주기의 길이는 과도한 실험없이도 당업자라면 용이하게 확인할 수 있을 것이다. 산소 플라즈마 CVD 세정 단계 수행 후 첫번째 웨이퍼 로드 전에, 반응기는 웨이퍼 지지 구조의 온도가 안정화될 때까지 예를 들어, 하기에서 정의되는 바와 같이 최대 진공 하 또는 대기 모드에서 사용되지 않고 있을 수 있다.
상기에서 기술한 바와 같이, CVD 반응기에 의해 증착된 첫번째 웨이퍼의 두께 비균일성은 전형적으로 두번째 웨이퍼와 비교해 더 나쁘다. 예를 들어, 하나의 25-웨이퍼 처리 주기에 있어서, 첫번째 웨이퍼의 증착속도는 후속되는 웨이퍼의 증착속도에 비해 ±1.4% 편차를 보이고, 두께 비균일성은 ±(2.7% ~ 3.5%) 편차를 보인다. 그러나, 여기서 개시하는 산소 플라즈마 세정 방법 적용 후, 첫번째 웨이퍼의 증착속도는 1% 이하로 감소되고 두께 비균일성은 ±2.5% 이하로 된다.
여기서 사용되는 바에 따르면, 산소 플라즈마는 CVD 챔버 세정에 효과적인 활성산소물질을 포함하는 플라즈마이다. 불소 플라즈마는 CVD 챔버 세정에 효과적인 활성불소물질을 포함하는 플라즈마이다. 활성산소물질 및 활성불소물질을 포함하는 플라즈마는 산소 및 불소 플라즈마이다. 이온 및 라디칼을 포함하는 활성물질은 이온 도움 식각을 포함하는 활성물질 도움 식각을 통해 CVD 반응 챔버로부터 오염물을 제거한다.
산소함유 가스는 플라즈마 생성을 위해 사용될 때 활성산소물질을 생성하는 가스이다. 바람직한 산소함유 가스는 O2, CO2, NO2, N2O, H2O, H2O2, O3, 및 O2와 O3의 혼합물이다. 불소함유 가스는 플라즈마 생성을 위해 사용될 때 활성불소물질을 생성하는 가스이다. 불소함유 가스는 불소, 불화탄소 가스, 수소화불화탄소 가스 및 가스상 플루오르화질소를 포함한다. 바람직한 불화탄소함유 가스는 NF3, CF4, C2F6, 및 C3F8이다. 바람직한 수소화불화탄소 가스는 CHF3이다. 바람직한 가스상 플루오르화질소는 NF3이다. 비활성 가스는 He, Ar, Ne, Kr, 및 Xe이다.
이하에서는 첨부도면을 참조하여 본 발명에 대해 상세히 설명한다.
산소 플라즈마 생성
인시츄 산소 플라즈마 세정 방법에 있어서, 산소 플라즈마는 산소함유 가스, 선택적으로 비활성 가스 또는 질소와 혼합되어 있는 산소함유 가스로 대전된 CVD 챔버 내의 전극을 통해 RF 소스에 의해 생성된다. 헬륨 또는 아르곤을 첨가함으로써, 플라즈마의 안정성은 강화된다.
플라즈마 방전을 발생시키기 위해, 27.12㎒ RF 소스는 CVD 챔버 내의 전극을 통해 출력된다. 다른 주파수도 플라즈마 방전 발생을 위해 사용될 수 있는데, 예를들어, 13.56㎒, 430㎑, 400㎑, 380㎑, 350㎑, 300㎑, 및 2㎒가 있다. 이중 주파수 RF 소스 또한 플라즈마 방전 발생을 위해 사용될 수 있는데, 예를 들어, 27.12㎒ + 400㎑ 및 13.56㎒ + 430㎑와 같이 두 개의 주파수가 매칭 네트워크 내에서 혼합되어 있는 것을 사용가능하다. 만족할 만한 플라즈마를 발생시키기 위해 필요한 RF 주파수 및 출력의 선택은 당업자가 용이하게 인식할 수 있을 것이다.
인시츄 산소 플라즈마 세정 방법의 전형적인 조건은 다음과 같다:
O20.1 ~ 5.0 slm
He0.1 ~ 10.0 slm
반응기 압력10 ~ 1000 Pa
처리 시간> 20 초
RF 출력200 ~ 10,000 W
바람직한 조건은 다음과 같다:
O20.5 ~ 3.0 slm
He0.5 ~ 5.0 slm
반응기 압력50 ~ 500 Pa
처리 시간> 60 초
RF 출력500 ~ 2,000 W
더욱 바람직한 조건은 다음과 같다:
O21.0 ~ 2.0 slm
He1.0 ~ 2.0 slm
반응기 압력100 ~ 250 Pa
처리 시간> 120 초
RF 출력500 ~ 1,000 W
다운스트림 산소 플라즈마 세정 방법에 있어서, 산소함유 가스는 CVD 반응기와 별개로 산소 플라즈마를 생성하는 플라즈마 챔버로 공급된다. CVD 세정을 위한 플라즈마의 원격 생성은 U.S.특허 제 6,187,691호 및 U.S.출원 제 2002/0011210A1호에 개시되어 있으며, 개시된 내용은 여기에 참조로서 반영된다. 간단하게, 마이크로파 또는 RF 에너지와 같은 에너지는 산소함유 가스를 활성산소물질로 해리시키는 데 사용된다. 그 후 활성산소물질은 플라즈마 챔버로부터 하류에 배치되어 있는 CVD 반응기로 인입된다. RF 소스의 주파수는 대략 400㎑ 부터 대략 2.45㎓의 범위에 이른다. 원격 플라즈마 챔버는 대략 400㎑의 주파수를 가진 저필드 환형 플라즈마인 것이 바람직하다. 비활성 가스 또는 질소는 산소함유 가스에 첨가될 수 있다. 헬륨 또는 아르곤을 첨가함으로써 플라즈마의 안정성은 강화된다.
다운스트림 산소 플라즈마 세정 방법의 전형적인 조건은 다음과 같다:
O20.1 ~ 5 slm
Ar0.1 ~ 10 slm
반응기 압력10 ~ 1350 Pa
처리 시간> 20 초
RF 출력200 ~ 10,000 W
바람직한 조건은 다음과 같다:
O20.5 ~ 3.0 slm
Ar0.5 ~ 5.0 slm
반응기 압력50 ~ 500 Pa
처리 시간> 60 초
RF 출력1,000 ~ 5,000 W
더욱 바람직한 조건은 다음과 같다:
O21.0 ~ 2.0 slm
Ar1.0 ~ 2.0 slm
반응기 압력100 ~ 250 Pa
처리 시간> 120 초
RF 출력1,000 ~ 3,000 W
다운스트림 산소-불소 플라즈마 세정 방법에 있어서, 산소함유 가스 및 불소함유 가스는 CVD 반응기와 별개인 플라즈마 챔버로 공급된다. RF 에너지는 산소함유 가스 및 불소함유 가스를 활성산소물질 및 활성불소물질로 각각 해리시키는 데 사용된다. 그 후 활성산소물질 및 활성불소물질은 플라즈마 챔버로부터 하류에 배치되어 있는 CVD 반응기로 인입된다. 비활성 가스 또는 질소는 가스 혼합물에 첨가될 수 있다. 헬륨 또는 아르곤을 첨가함으로써 플라즈마의 안정성은 강화된다. O2는 아마도 불소 이온 재결합을 감소시키는 것에 의해 활성불소물질의 수명을 증가시킨다. NF3, 아르곤, 및 O2의 가스 혼합물은 다운스트림 산소-불소 플라즈마 세정 방법에 있어서 바람직하다.
다운스트림 산소-불소 플라즈마 세정 방법의 전형적인 조건은 다음과 같다:
O20.1 ~ 3.0 slm
NF30.2 ~ 5.0 slm
Ar0.2 ~ 10.0 slm
반응기 압력10 ~ 1350 Pa
처리 시간> 20 초
RF 출력500 ~ 10,000 W
바람직한 조건은 다음과 같다:
O20.2 ~ 1.0 slm
NF30.5 ~ 3.0 slm
Ar0.5 ~ 5.0 slm
반응기 압력50 ~ 500 Pa
처리 시간> 60 초
RF 출력750 ~ 5,000 W
더욱 바람직한 조건은 다음과 같다:
O20.3 ~ 0.5 slm
NF30.75 ~ 1.5 slm
Ar1.0 ~ 4.0 slm
반응기 압력100 ~ 250 Pa
처리 시간> 120 초
RF 출력2,000 ~ 3,000 W
다운스트림 산소 및 산소-불소 플라즈마 세정 방법에 있어서, 초기 플라즈마는 세정 가스, 산소함유 가스 또는 산소함유 가스와 불소함유 가스의 혼합물 중에어느 하나의 인입 전에 플라즈마 챔버 내에서 생성될 수 있다. 바람직한 일 실시예에 따르면, 초기 플라즈마는 비활성 가스로부터 생성된다. 바람직한 제2 실시예에 따르면, 초기 플라즈마는 O2가스로부터 생성된다.
CVD 반응기의 산소 플라즈마 세정
여기에 개시된 산소 플라즈마 CVD 세정 방법은 저-k 막 및 SiC 증착 방법에 있어서 유용하다. 이하에서 사용되는 바에 따르면, "대기 모드"는 CVD 챔버 압력이 대략 533.2 Pa이고, 대략 1 slpm N2유량이 되는 경우이다.
도 1a는 건식 플라즈마 세정 방법의 바람직한 일 실시예를 보여준다. 단계 110에서, CVD 반응기는 대기 모드에 있다. 단계 120에서, CVD 반응기는 인시츄 산소 플라즈마 세정 방법에 의해 미리 세정된다. 첫번째 웨이퍼가 단계 130에서 CVD 반응기에 로드되고, 그 위에 소망하는 막이 단계 140에서 증착되며, 단계 150에서 웨이퍼가 언로드된다. 단계 160에서, CVD 반응기는 원격 생성 NF3-아르곤 플라즈마에 의해 세정된다. 단계 130-160은 로트의 잔존 웨이퍼에 대해 반복수행된다. 마지막 웨이퍼의 처리 후, 단계 170에서 CVD 반응기는 대기모드로 환원된다.
도 1b는 건식 플라즈마 세정 방법의 바람직한 제2 실시예를 보여준다. 단계 210에서, CVD 반응기는 대기 모드에 있다. 단계 215에서, 반응기는 원격 생성 NF3-아르곤 플라즈마에 의해 제1 세정된다. 단계 220에서, CVD 반응기는 인시츄 산소 플라즈마 세정 방법에 의해 미리 세정된다. 단계 215 및 220은 다른 순서로 수행될수도 있다. 첫번째 웨이퍼가 단계 230에서 CVD 반응기에 로드되고, 그 위에 소망하는 막이 단계 240에서 증착되며, 단계 250에서 웨이퍼가 언로드된다. 단계 260에서, CVD 반응기는 원격 생성 NF3-아르곤 플라즈마에 의해 세정된다. 단계 230-260은 로트의 잔존 웨이퍼에 대해 반복수행된다. 마지막 웨이퍼의 처리 후, 단계 270에서 CVD 반응기는 대기모드로 환원된다.
도 2a는 건식 플라즈마 세정 방법의 바람직한 제3 실시예를 보여준다. 단계 310에서, CVD 반응기는 대기 모드에 있다. 단계 320에서, 반응기는 다운스트림 산소 플라즈마 세정 방법에 의해 미리 세정된다. 첫번째 웨이퍼가 단계 330에서 CVD 반응기에 로드되고, 그 위에 소망하는 막이 단계 340에서 증착되며, 단계 350에서 웨이퍼가 언로드된다. 단계 360에서, CVD 반응기는 원격 생성 NF3-아르곤 플라즈마에 의해 세정된다. 단계 330-360은 로트의 잔존 웨이퍼에 대해 반복수행된다. 마지막 웨이퍼의 처리 후, 단계 370에서 CVD 반응기는 대기모드로 환원된다.
도 2b는 건식 플라즈마 세정 방법의 바람직한 제4 실시예를 보여준다. 단계 410에서, CVD 반응기는 대기 모드에 있다. 단계 415에서, 반응기는 원격 생성 NF3-아르곤 플라즈마에 의해 제1 세정된다. 단계 420에서, CVD 반응기는 다운스트림 산소 플라즈마 세정 방법에 의해 미리 세정된다. 단계 415 및 420은 다른 순서로 수행될 수도 있다. 그 후 첫번째 웨이퍼가 단계 430에서 CVD 반응기에 로드되고, 그 위에 소망하는 막이 단계 440에서 증착되며, 단계 450에서 웨이퍼가 언로드된다. 단계 460에서, CVD 반응기는 원격 생성 NF3-아르곤 플라즈마에 의해 세정된다. 단계430-460은 로트의 잔존 웨이퍼에 대해 반복수행된다. 마지막 웨이퍼의 처리 후, 단계 470에서 CVD 반응기는 대기모드로 환원된다.
도 3은 건식 플라즈마 세정 방법의 바람직한 제5 실시예를 보여준다. 단계 510에서, CVD 반응기는 대기 모드에 있다. 단계 520에서, 반응기는 다운스트림 산소-불소 플라즈마 세정 방법에 의해 세정된다. 그 후 첫번째 웨이퍼가 단계 530에서 CVD 반응기에 로드되고, 그 위에 소망하는 막이 단계 540에서 증착되며, 단계 550에서 웨이퍼가 언로드된다. 단계 560에서, CVD 반응기는 원격 생성 NF3-아르곤 플라즈마 또는 다운스트림 산소-불소 플라즈마 세정 방법 중 어는 하나에 의해 세정된다. 단계 530-560은 로트의 잔존 웨이퍼에 대해 반복수행된다. 마지막 웨이퍼의 처리 후, 단계 570에서 CVD 반응기는 대기모드로 환원된다.
개시된 건식 플라즈마 세정 방법의 다른 실시예에 있어서, CVD 반응 챔버의 불소 플라즈마 세정은 각 웨이퍼의 막 증착 후마다 수행되지 않는다. 대신 불소 플라즈마 세정 단계는 미리 설정된 수만큼의 웨이퍼의 막 증착 후에 수행된다. 불소 플라즈마 세정 단계에 요구되는 주파수는 특정 증착 조건에 의존하며, 증착 조건의 결정은 과도한 실험 없이도 당업자에 의해 용이하게 인식될 수 있다. 불소 플라즈마 세정 단계의 주파수를 감소시킴으로써, CVD 장치의 처리량을 향상시킬 수 있다.
도 1 내지 3에 도시된 각 방법들은 이 실시예에 따라 변형될 수 있다. 예를 들어, 본 발명에 따른 실시예에 따른 도 1a에 도시된 것과 유사한 방법은 도 4에 도시되어 있다. 단계 610에서, CVD 반응기는 대기 모드에 있다. 단계 620에서, CVD반응기는 인시츄 산소 플라즈마 세정 방법에 의해 미리 세정된다. 첫번째 웨이퍼가 단계 630에서 CVD 반응기에 로드되고, 그 위에 소망하는 막이 단계 640에서 증착되며, 단계 650에서 웨이퍼가 언로드된다. 단계 630-650은 미리 설정된 수만큼의 웨이퍼마다 반복수행된다. 단계 660에서, CVD 반응기는 원격 생성 NF3-아르곤 플라즈마에 의해 세정된다. 단계 630-660은 로트의 잔존 웨이퍼에 대해 반복수행된다. 마지막 웨이퍼의 처리 후, 단계 670에서 CVD 반응기는 대기모드로 환원된다.
도 5는 여기서 개시된 산소 플라즈마 세정 방법이 수행될 수 있는 평행판 타입의 플라즈마 강화 CVD 장치를 보여주고 있다. 세정 방법은 또한 다른 타입의 CVD 장치, 예를 들어, 감압열 CVD 장치에 의해 수행될 수 있다. 도 5에 도시되어 있는 바와 같이 CVD 장치는 원격 생성 플라즈마를 CVD 처리 챔버로 공급하도록 구성되어 있다.
도 5에 도시된 장치에서 반도체 웨이퍼 상에 막을 증착하는 절차는 다음과 같이 수행된다. 대기 기간 후에, 하나의 웨이퍼(3)를 이송 챔버(1) 내로 배치한다. 웨이퍼(3)는 이송 챔버(1)에 인접한 처리 챔버(4) 내부의 웨이퍼 지지 구조 또는 서셉터(5) 상에 자동 이송 로봇(2)에 의해 로드된다. 서셉터(5)는 또한 내부에 내장되는 히터(가열 요소)(9)를 구비한 전극으로서의 기능도 한다. 반응 가스는 서셉터(5)와 평행한 샤워해드(6)로부터 웨이퍼(3)로 고르게 공급된다. 라디오 주파수 출력은 라디오 주파수 진동자(7,7')에 의해 샤워해드(6)에 적용된다. RF 매칭 시스템(12)은 라디오 주파수 진동자(7,7')와 처리 챔버(4) 사이에 사용된다.
예 1.
선처리 세정
다음 세 개의 플라즈마 건식 세정 시퀀스는 저-k 막 및 SiC 선처리 세정 양자에 모두 사용된다.
도 5를 참조하면, 인시츄 산소 플라즈마 세정 방법에 있어서, O2및 He은 가스 라인(13)을 통해 CVD 반응기로 도입된다. 반응기 내의 압력은 컨덕턴스 조절 밸브(8)에 의해 제어된다. 반응기 내의 압력이 설정 지점에 이르게 되면, RF 출력의 전원이 켜진다. 27 ㎒ RF 출력은 O2가스를 반응 물질로 해리시킨다. 충분한 이온 충돌 에너지를 가진 반응 산소 물질은 반응 챔버(4) 벽 및 서셉터(5) 상에 증착되어 있는 불소 및 탄소 화합물과 반응할 것이다. 이 과정은 비휘발성 화합물을 휘발성 화합물로 변환시키는데, 이것은 게이트 밸브(8)를 통해 방출되게 된다. 미리 설정된 "플라즈마 동작" 시간 후에, RF 출력, 및 O2와 He 가스는 자동적으로 전원이 꺼지게 된다. 컨덕턴스 조절 밸브(8)는 전체 반응기에 대해 부산물이 완전히 방출 제거될 수 있도록 완전히 개방된다.
다운스트림 산소 플라즈마 세정 방법에 있어서, 아르곤과 같은 비활성 가스는 가스라인(14)을 통해 원격 플라즈마 챔버(10) 내로 인입된다. 밸브(11)는 이와 동시에 개방된다. 플라즈마 챔버(10) 내의 가스 압력이 설정 지점에 이르게 되면, 원격 플라즈마 유닛(10)의 출력의 전원이 켜진다. 미리 혼합된 산소함유 가스와 비활성 가스의 가스 혼합물, 예를 들어, O2와 Ar는 가스 라인(14)을 통해 플라즈마 챔버(10) 내로 인입된다. 플라즈마 챔버(10) 내에서 O2가스는 해리된다. 반응 세정 물질은 밸브(11)를 통해 CVD 챔버로 도입된다. 충분한 에너지를 가진 반응 세정 물질은 반응 챔버(4) 벽 및 서셉터(5) 상에 증착되어 있는 불소 및 탄소 화합물을 활성물질(예를 들어, 라디칼) 도움 식각에 의해 제거할 것이다. 이 과정은 비휘발성 화합물을 휘발성 부산물로 변환시키는데, 이것은 게이트 밸브(8)를 통해 방출되게 된다. 미리 설정된 "플라즈마 동작" 시간 후에, 미리 혼합된 가스 및 아르곤의 유량에 이어서, 원격 플라즈마 유닛(10)의 전원도 자동적으로 꺼지게 된다. 컨덕턴스 조절 밸브(8)는 전체 반응기에 대해 부산물이 완전히 방출 제거될 수 있도록 완전히 개방된다.
다운스트림 산소-불소 플라즈마 세정 방법에 있어서, 예를 들어 아르곤과 혼합된 O2와 같이, 미리 혼합된 산소함유 가스는 가스 라인(14)을 통해 원격 플라즈마 챔버(10) 내로 인입된다. 밸브(11)는 이와 동시에 개방된다. 플라즈마 챔버(10) 내의 가스 압력이 설정 지점에 이르게 되면, 원격 플라즈마 유닛(10)의 출력의 전원이 켜진다. 다음으로, 불소함유 가스, 예를 들어, NF3는 가스 라인(14)을 통해 플라즈마 챔버(10) 내로 인입된다. 플라즈마 챔버(10) 내에서 O2가스는 해리된다. O2는 불소 이온의 재결합을 감소시킴으로써 불소반응물질의 밀도를 증가시킨다. 최종 플라즈마는 반응 산소 물질 및 반응 불소 물질 모두를 포함한다. 충분한 에너지를 가진 반응 세정 물질은 처리 챔버(4) 벽 및 서셉터(5) 상에 증착되어 있는 불소 및 탄소 화합물을 활성물질(예를 들어, 라디칼) 도움 식각에 의해 제거할 것이다. 이 과정은 비휘발성 화합물을 휘발성 부산물로 변환시키는데, 이것은 게이트 밸브(8)를 통해 방출되게 된다. 미리 설정된 "플라즈마 동작" 시간 후에, 가스 소스의 유량에 이어서, 원격 플라즈마 유닛(10)의 전원도 자동적으로 꺼지게 된다. 컨덕턴스 조절 밸브(8)는 전체 반응기에 대해 부산물이 완전히 방출 제거될 수 있도록 완전히 개방된다.
대표적인 다운스트림 산소-불소 플라즈마 세정 조건은 다음과 같다:
NF30.5 ~ 1 slm
Ar2 ~ 5 slm
O20.1 ~ 1.0 slm
RF 출력(400 ㎑)2.0 ~ 2.8 ㎾
예 2.
저유전상수 유기 실리콘 유리 증착 방법
도 5를 참조하면, 실리콘 웨이퍼(3) 상에 SiCO 막을 증착하기 위해, Si(OCH3)2(CH3)2와 헬륨의 혼합 가스를 반응 가스로서 샤워해드(6)로부터 처리 챔버(4)로 공급한다. 처리 챔버(4) 내부의 압력은 처리 챔버(4)에 연결되어 있는컨덕턴스 조절 밸브(8)를 이용해서 대략 1060 Pa 이하로 조절 및 조정된다. 히터(9)는 그 상부에 웨이퍼(3)가 로드되어 있는 서셉터(5)를 가열한다. 이 때 웨이퍼(3)는 서셉터(5) 상에 로드되어 있는 동안 대략 350 내지 400℃까지 가열된다. 13.56 ㎒ 라디오 주파수 출력, 13.56 ㎒와 430 ㎒의 혼합 출력, 27.12 ㎒ 출력, 또는 27.12 ㎒와 400 ㎑의 혼합 출력은 서셉터(5)와 샤워해드(6) 사이에 적용된다. 최종 플라즈마는 웨이퍼(3) 상에 박막을 형성한다. 박막 형성 후, 웨이퍼(3)는 자동 이송 로봇(2)에 의해 처리 챔버(4)로부터 이송된다.
다른 실시예에서 있어서, 테트라메틸실란(tetramethylsilane), Si(CH3)4, 또는 트리메틸실란(trimethylsilane), SiH(CH3)3, 및 산소함유 가스, 예를 들어, O2, NO2, CO2, H2O, O3및 이들의 혼합물은 또한 SiCO 막을 증착시키는 반응 가스로서 사용될 수 있다.
SiCO 막은 도 2a에 도시되어 있는 방법에 의해 임의의 웨이퍼 배치(batch)에 증착된다. 웨이퍼의 배치 처리 사이에 처리 챔버(4)로부터 오염물(이 경우에 있어서는 SiCO 화합물)을 제거하기 위해서, 예 1에 기술되어 있는 다운스트림 산소-불소 플라즈마 세정 방법이 단계 320에서 사용된다. 원격 플라즈마 방전 챔버(10)의 라디오 주파수 출력에 의한 아르곤 플라즈마 발화는 원격 플라즈마 방전 챔버(10)로 O2및 NF3의 가스 혼합물을 인입하기 전에 수행된다. 가스가 해리 및 활성화된 후에, 밸브(11)를 통해 처리 챔버로 인입되어, 처리 챔버(4)를 세정하게 된다.
막 증착(단계 340) 및 다운스트림 불소 플라즈마 세정(단계 360)은 한 로트내의 각 웨이퍼마다 번갈아 수행된다.
대표적인 SiCO 막 증착 조건은 다음과 같다:
Si(OCH3)2(CH3)2140 sccm
He50 sccm
RF 출력(27.12 ㎒)1500 ~ 1650 W
압력560 Pa
전극 간격24 ㎜
기판 온도370 ~ 380 ℃
대표적인 다운스트림 불소 플라즈마 세정 조건은 다음과 같다:
NF31 slm
Ar5 slm
RF 출력(400 ㎑)2.1 ~ 2.8 ㎾
예 3.
탄화규소(SiC) 증착 방법
탄화규소(SiC) 막은 하드마스크로, 이중 상감 식각 방법에서의 식각 중지 막으로, 또는 Cu 확산 배리어 막으로 사용된다. SiC의 증착에 있어서, 테트라메틸실란, Si(CH3)4는 SiCO 막 증착에 사용되는 Si(OCH3)2(CH3)2대신 CVD 반응기로 공급된다. 도 5를 참조하면, 테트라메틸실란과 헬륨의 혼합 기체는 반응 가스로서 샤워해드(6)로부터 처리 챔버(4)로 공급된다. 처리 챔버(4) 내부의 압력은 처리 챔버(4)에 연결되어 있는 컨덕턴스 조절 밸브(8)를 이용해서 대략 1060 Pa 이하로 조정된다. 히터(9)는 그 상부에 웨이퍼(3)가 로드되어 있는 서셉터(5)를 가열한다. 이 때 웨이퍼(3)는 서셉터(5) 상에 로드되어 있는 동안 대략 300 내지 380℃까지 가열된다. 13.56 ㎒ 라디오 주파수 출력, 13.56 ㎒와 430 ㎒의 혼합 출력, 27.12 ㎒ 출력, 또는 27.12 ㎒와 400 ㎑의 혼합 출력은 서셉터(5)와 샤워해드(6) 사이에 적용된다. 최종 플라즈마는 웨이퍼(3) 상에 SiC 박막을 형성한다. 박막 형성 후, 웨이퍼(3)는 자동 이송 로봇(2)에 의해 처리 챔버(4)로부터 이송된다. 다른 실시예에서 있어서, 트리메틸실란(SiH(CH3)3)은 또한 SiC 막을 증착하는 데 사용될 수 있다. 산소가 부족한 SiCO 막은 또한 SiC 막 대신 사용될 수 있는데, 여기에 O2, NO2, CO2, H2O, O3및 이들의 혼합물과 같은 산소함유 가스를 반응 가스 혼합물에 첨가하여 증착시킬 수 있다. CO2는 이러한 SiCO 막 증착에 사용되는 산소함유 가스로서 바람직하다.
탄화규소 막은 도 2a에 도시되어 있는 방법에 의해 임의의 웨이퍼 배치(batch)에 증착된다. 각 웨이퍼 배치의 증착 사이마다 처리 챔버(4)는 단계 320에서 예 1에 기술되어 있는 다운스트림 산소-불소 플라즈마 세정 방법을 이용하여 세정된다.
SiC 막 증착(단계 340) 및 다운스트림 불소 플라즈마 세정(단계 360)은 배치 내의 각 웨이퍼마다 번갈아 수행된다.
대표적인 SiC 막 증착 조건은 다음과 같다:
테트라메틸실란200 ~ 700 sccm
He500 ~ 5,000 sccm
RF 출력(27.12 ㎒)300 ~ 1,000 W
RF 출력(400 ㎑)100 ~ 300 W
압력300 ~ 700 Pa
전극 간격24 ㎜
기판 온도320 ~ 380 ℃
SiCN 막은 탄화규소 막 대신에 사용될 수 있다. SiCN 막은 NH3와 같은 질소 함유 가스를 증착 가스 혼합물에 첨가하여 증착시킬 수 있다.
상기에서 도시되고 기술된 실시예들은 본 발명의 임의의 바람직한 실시예의 예를 보여준 것에 불과하다. 여기에 제시된 실시예에 대한 다양한 변화 및 변형은 본 발명의 개념 및 범위 내에서 당업자가 충분히 생각해낼 수 있으며, 이것의 범위는 단지 첨부한 청구범위에만 한정되지는 않는다.
이상 설명한 바와 같이, 본 발명에 따르면, 산소 플라즈마로부터 생성된 활성산소물질을 이용하여 CVD 반응기의 반응 챔버로부터 오염물을 세정하게 된다. 본 발명의 방법은 특히 PECVD(플라즈마 강화 CVD) 반응기, 더 한정적으로 저-k 막을 포함하는 유전막을 증착하는 데 사용되는 PECVD 반응기의 세정에 적합하다.
Claims (19)
- CVD 반응 챔버에 활성산소물질을 접촉시키는 단계를 포함하는 것을 특징으로 하는 반도체 기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
- 제1항에 있어서,상기 활성산소물질은 상기 CVD 반응 챔버 내부에서 생성된 산소 플라즈마 생성물인 것을 특징으로 하는 반도체 기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
- 제1항에 있어서,상기 활성산소물질은 상기 CVD 반응 챔버 외부에서 생성된 산소 플라즈마 생성물인 것을 특징으로 하는 반도체 기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
- 제1항 내지 제3항 중 어느 한 항에 있어서,상기 활성산소물질은 (ⅰ) O2, CO2, NO2, N2O, H2O, H2O2, 및 O3로 구성된 군 중에서 선택된 산소함유 가스와; (ⅱ) 선택적으로, He, Ar, Ne, Kr 및 Xe로 구성된군 중에서 선택된 불활성 가스와; (ⅲ) 선택적으로, N2를 포함하는 가스로부터 생성된 산소 플라즈마 생성물인 것을 특징으로 하는 반도체 기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
- 제4항에 있어서,상기 가스는 NF3를 더 포함하는 것을 특징으로 하는 반도체 기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
- 제1항 내지 제5항 중 어느 한 항에 있어서,상기 CVD 반응 챔버에 활성불소물질을 접촉시키는 별개의 단계를 더 포함하는 것을 특징으로 하는 반도체 기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
- 제6항에 있어서,상기 CVD 반응 챔버에 활성불소물질을 접촉시키는 단계는 상기 CVD 반응 챔버에 활성산소물질을 접촉시킨 후에 수행되는 것을 특징으로 하는 반도체 기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
- 제7항에 있어서,상기 CVD 반응 챔버에 활성불소물질을 접촉시키는 단계는 상기 CVD 반응 챔버에 활성산소물질을 접촉시키기 전에 수행되는 것을 특징으로 하는 반도체 기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
- 제6항 내지 제8항 중 어느 한 항에 있어서,상기 활성불소물질은 (ⅰ) 불소, 불화탄소 가스, 수소화불화탄소 가스 및 가스상 플루오르화질소로 구성된 군 중에서 선택된 불소함유 가스와; (ⅱ) 선택적으로, He, Ar, Ne, Kr 및 Xe로 구성된 군 중에서 선택된 불활성 가스를 포함하는 가스로부터 생성된 불소 플라즈마 생성물인 것을 특징으로 하는 반도체 기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
- 제9항에 있어서,상기 불소함유 가스는 CF4, C2F6, C3F8, 및 CHF3로 구성된 군 중에서 선택되는 것을 특징으로 하는 반도체 기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
- 제9항에 있어서,상기 불소함유 가스는 NF3인 것을 특징으로 하는 반도체 기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
- 제9항 내지 제11항 중 어느 한 항에 있어서,상기 불소 플라즈마는 상기 CVD 반응 챔버 외부에서 생성되는 것을 특징으로 하는 반도체 기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
- CVD 반응 챔버 내의 반도체 기판에 탄소함유 막을 형성하는 방법에 있어서,(ⅰ) 상기 CVD 반응 챔버에 활성산소물질을 접촉시키는 단계와;(ⅱ) 상기 반도체 기판을 상기 CVD 반응 챔버 내로 이송하는 단계와;(ⅲ) 상기 반도체 기판에 탄소함유 막을 증착시키는 단계와;(ⅳ) 상기 반도체 기판을 상기 CVD 반응 챔버로부터 이송하는 단계와;(ⅴ) 상기 CVD 반응 챔버에 활성불소물질을 접촉시키는 단계를 포함하는 것을 특징으로 하는 CVD 반응 챔버 내의 반도체 기판에 탄소함유 막을 형성하는 방법.
- 제13항에 있어서,상기 접촉 단계 (ⅰ)은 제1항 내지 제12항 중 어느 한 항의 세정 방법에 따라 수행되는 것을 특징으로 하는 CVD 반응 챔버 내의 반도체 기판에 탄소함유 막을 형성하는 방법.
- 제13항 및 제14항 중 어느 한 항에 있어서,단계 (ⅱ) 내지 (ⅳ)는 단계 (v)가 수행되기 전에 기설정된 횟수로 반복되는 것을 특징으로 하는 CVD 반응 챔버 내의 반도체 기판에 탄소함유 막을 형성하는 방법.
- 제13항 내지 제15항 중 어느 한 항에 있어서,추가적인 반도체 기판에 상기 단계 (ⅱ), (ⅲ), (ⅳ) 및 (ⅴ)를 반복 수행하는 단계를 더 포함하는 것을 특징으로 하는 CVD 반응 챔버 내의 반도체 기판에 탄소함유 막을 형성하는 방법.
- 제1항 내지 제16항 중 어느 한 항에 있어서,상기 탄소함유 막은 Si, C, O, 및 H로 구성되는 것을 특징으로 하는 반도체 기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
- 제1항 내지 제16항 중 어느 한 항에 있어서,상기 탄소함유 막은 Si, C, 및 H로 구성되는 것을 특징으로 하는 반도체 기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
- 제1항 내지 제16항 중 어느 한 항에 있어서,상기 탄소함유 막은 Si, C, N, 및 H로 구성되는 것을 특징으로 하는 반도체기판에 탄소함유 막을 증착하는 데 사용하는 CVD 반응 챔버를 세정하는 방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/235,217 | 2002-09-04 | ||
US10/235,217 US6767836B2 (en) | 2002-09-04 | 2002-09-04 | Method of cleaning a CVD reaction chamber using an active oxygen species |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20040021533A true KR20040021533A (ko) | 2004-03-10 |
Family
ID=31977534
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020030058753A KR20040021533A (ko) | 2002-09-04 | 2003-08-25 | 반도체 기판에 막을 형성하는 방법 |
Country Status (4)
Country | Link |
---|---|
US (1) | US6767836B2 (ko) |
EP (1) | EP1452625A3 (ko) |
JP (1) | JP4439860B2 (ko) |
KR (1) | KR20040021533A (ko) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100727259B1 (ko) * | 2005-12-29 | 2007-06-11 | 동부일렉트로닉스 주식회사 | 반도체 장치의 배선 형성방법 |
CN111112267A (zh) * | 2019-12-24 | 2020-05-08 | 西安奕斯伟硅片技术有限公司 | 一种气相沉积反应腔体的清洗装置、清洗系统及清洗方法 |
Families Citing this family (74)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6875674B2 (en) * | 2000-07-10 | 2005-04-05 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device with fluorine concentration |
US20030005943A1 (en) * | 2001-05-04 | 2003-01-09 | Lam Research Corporation | High pressure wafer-less auto clean for etch applications |
US20030110781A1 (en) | 2001-09-13 | 2003-06-19 | Zbigniew Zurecki | Apparatus and method of cryogenic cooling for high-energy cutting operations |
US20030145694A1 (en) | 2002-02-04 | 2003-08-07 | Zbigniew Zurecki | Apparatus and method for machining of hard metals with reduced detrimental white layer effect |
US7071129B2 (en) * | 2002-09-12 | 2006-07-04 | Intel Corporation | Enhancing adhesion of silicon nitride films to carbon-containing oxide films |
US6932092B2 (en) * | 2002-11-22 | 2005-08-23 | Applied Materials, Inc. | Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy |
US7091133B2 (en) * | 2003-01-27 | 2006-08-15 | Asm Japan K.K. | Two-step formation of etch stop layer |
JP2005033173A (ja) * | 2003-06-16 | 2005-02-03 | Renesas Technology Corp | 半導体集積回路装置の製造方法 |
US6924239B2 (en) * | 2003-10-14 | 2005-08-02 | Texas Instruments Incorporated | Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation |
US7419498B2 (en) * | 2003-10-21 | 2008-09-02 | Nmt Medical, Inc. | Quick release knot attachment system |
JP2005142198A (ja) * | 2003-11-04 | 2005-06-02 | Taiyo Nippon Sanso Corp | クリーニングガス及びクリーニング方法 |
US7354631B2 (en) * | 2003-11-06 | 2008-04-08 | Micron Technology, Inc. | Chemical vapor deposition apparatus and methods |
KR100900587B1 (ko) * | 2003-11-11 | 2009-06-02 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 방법 |
US7267741B2 (en) * | 2003-11-14 | 2007-09-11 | Lam Research Corporation | Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon |
US7207339B2 (en) * | 2003-12-17 | 2007-04-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for cleaning a plasma enhanced CVD chamber |
US20050155625A1 (en) * | 2004-01-20 | 2005-07-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Chamber cleaning method |
FR2866470B1 (fr) * | 2004-02-18 | 2006-07-21 | Atmel Nantes Sa | Procede pour la fabrication de circuits integres et dispositif correspondant. |
KR100893955B1 (ko) * | 2004-02-19 | 2009-04-20 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 장치에 있어서의 처리실의 클리닝 방법 및 클리닝의 종점 검출 방법 |
US20050258137A1 (en) * | 2004-03-24 | 2005-11-24 | Sawin Herbert H | Remote chamber methods for removing surface deposits |
US7513121B2 (en) | 2004-03-25 | 2009-04-07 | Air Products And Chemicals, Inc. | Apparatus and method for improving work surface during forming and shaping of materials |
US20070286965A1 (en) * | 2006-06-08 | 2007-12-13 | Martin Jay Seamons | Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon |
CN100461344C (zh) * | 2004-07-23 | 2009-02-11 | 气体产品与化学公司 | 从基板上清除含碳的残余物的方法 |
US7581549B2 (en) * | 2004-07-23 | 2009-09-01 | Air Products And Chemicals, Inc. | Method for removing carbon-containing residues from a substrate |
US20060054183A1 (en) * | 2004-08-27 | 2006-03-16 | Thomas Nowak | Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber |
US7819981B2 (en) * | 2004-10-26 | 2010-10-26 | Advanced Technology Materials, Inc. | Methods for cleaning ion implanter components |
US7291286B2 (en) * | 2004-12-23 | 2007-11-06 | Lam Research Corporation | Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses |
US20060144820A1 (en) * | 2004-12-30 | 2006-07-06 | Sawin Herbert H | Remote chamber methods for removing surface deposits |
US20060144819A1 (en) * | 2004-12-30 | 2006-07-06 | Sawin Herbert H | Remote chamber methods for removing surface deposits |
US20070006893A1 (en) * | 2005-07-08 | 2007-01-11 | Bing Ji | Free radical initiator in remote plasma chamber clean |
US20070028944A1 (en) * | 2005-08-02 | 2007-02-08 | Sawin Herbert H | Method of using NF3 for removing surface deposits |
US20070028943A1 (en) * | 2005-08-02 | 2007-02-08 | Sawin Herbert H | Method of using sulfur fluoride for removing surface deposits |
US7434439B2 (en) | 2005-10-14 | 2008-10-14 | Air Products And Chemicals, Inc. | Cryofluid assisted forming method |
US7390240B2 (en) | 2005-10-14 | 2008-06-24 | Air Products And Chemicals, Inc. | Method of shaping and forming work materials |
US8057603B2 (en) * | 2006-02-13 | 2011-11-15 | Tokyo Electron Limited | Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber |
US20070207275A1 (en) * | 2006-02-21 | 2007-09-06 | Applied Materials, Inc. | Enhancement of remote plasma source clean for dielectric films |
US20070248767A1 (en) * | 2006-04-19 | 2007-10-25 | Asm Japan K.K. | Method of self-cleaning of carbon-based film |
JP2010503977A (ja) | 2006-04-26 | 2010-02-04 | アドバンスト テクノロジー マテリアルズ,インコーポレイテッド | 半導体処理システムの洗浄方法 |
US20080083701A1 (en) * | 2006-10-04 | 2008-04-10 | Mks Instruments, Inc. | Oxygen conditioning of plasma vessels |
US7550090B2 (en) * | 2007-01-23 | 2009-06-23 | Applied Materials, Inc. | Oxygen plasma clean to remove carbon species deposited on a glass dome surface |
TWI424105B (zh) * | 2007-05-14 | 2014-01-21 | Tokyo Electron Ltd | 成膜裝置及使用其之方法 |
US20080299775A1 (en) * | 2007-06-04 | 2008-12-04 | Applied Materials, Inc. | Gapfill extension of hdp-cvd integrated process modulation sio2 process |
US7745350B2 (en) * | 2007-09-07 | 2010-06-29 | Applied Materials, Inc. | Impurity control in HDP-CVD DEP/ETCH/DEP processes |
US7867921B2 (en) * | 2007-09-07 | 2011-01-11 | Applied Materials, Inc. | Reduction of etch-rate drift in HDP processes |
US20090090382A1 (en) * | 2007-10-05 | 2009-04-09 | Asm Japan K.K. | Method of self-cleaning of carbon-based film |
US20090114245A1 (en) * | 2007-11-02 | 2009-05-07 | Hidehiro Kojiri | In-situ chamber cleaning method |
JP2011512015A (ja) | 2008-02-11 | 2011-04-14 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 半導体処理システムにおけるイオン源の洗浄 |
US8262800B1 (en) | 2008-02-12 | 2012-09-11 | Novellus Systems, Inc. | Methods and apparatus for cleaning deposition reactors |
US7972968B2 (en) * | 2008-08-18 | 2011-07-05 | Applied Materials, Inc. | High density plasma gapfill deposition-etch-deposition process etchant |
JP2010047818A (ja) * | 2008-08-25 | 2010-03-04 | Toshiba Corp | 半導体製造装置および半導体製造方法 |
US8404135B2 (en) * | 2008-08-26 | 2013-03-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Plasma cleaning for process chamber component refurbishment |
US20100104770A1 (en) * | 2008-10-27 | 2010-04-29 | Asm Japan K.K. | Two-step formation of hydrocarbon-based polymer film |
US8591659B1 (en) | 2009-01-16 | 2013-11-26 | Novellus Systems, Inc. | Plasma clean method for deposition chamber |
CN102713000A (zh) * | 2009-10-30 | 2012-10-03 | 索尔维公司 | 使用f2及cof2进行等离子体蚀刻和等离子体腔室清洁的方法 |
JP5421736B2 (ja) * | 2009-11-13 | 2014-02-19 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、及びプログラム |
US8247332B2 (en) | 2009-12-04 | 2012-08-21 | Novellus Systems, Inc. | Hardmask materials |
US8497211B2 (en) | 2011-06-24 | 2013-07-30 | Applied Materials, Inc. | Integrated process modulation for PSG gapfill |
US20130133697A1 (en) * | 2011-06-29 | 2013-05-30 | Paul A. STOCKMAN | Prevention of post-pecvd vacuum and abatement system fouling using a fluorine containing cleaning gas chamber |
DE102011056538A1 (de) * | 2011-12-16 | 2013-06-20 | Aixtron Se | Verfahren zum Entfernen unerwünschter Rückstände aus einem MOCVD-Reaktor sowie zugehörige Vorrichtung |
US9234276B2 (en) | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US10211310B2 (en) | 2012-06-12 | 2019-02-19 | Novellus Systems, Inc. | Remote plasma based deposition of SiOC class of films |
US10832904B2 (en) | 2012-06-12 | 2020-11-10 | Lam Research Corporation | Remote plasma based deposition of oxygen doped silicon carbide films |
US10325773B2 (en) | 2012-06-12 | 2019-06-18 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
US9337068B2 (en) | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10297442B2 (en) | 2013-05-31 | 2019-05-21 | Lam Research Corporation | Remote plasma based deposition of graded or multi-layered silicon carbide film |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US9601319B1 (en) * | 2016-01-07 | 2017-03-21 | Lam Research Corporation | Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process |
US9847221B1 (en) | 2016-09-29 | 2017-12-19 | Lam Research Corporation | Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing |
US10002787B2 (en) | 2016-11-23 | 2018-06-19 | Lam Research Corporation | Staircase encapsulation in 3D NAND fabrication |
CN106756765B (zh) * | 2016-11-30 | 2019-06-25 | 东北大学 | 一种金属材料表面含氟抗菌涂层及其制备方法 |
US9837270B1 (en) | 2016-12-16 | 2017-12-05 | Lam Research Corporation | Densification of silicon carbide film using remote plasma treatment |
JP7139432B2 (ja) * | 2018-08-31 | 2022-09-20 | 日本特殊コーティング株式会社 | 放射線硬化性樹脂組成物 |
CN111599706A (zh) * | 2020-05-26 | 2020-08-28 | 上海华虹宏力半导体制造有限公司 | 在线侦测腔体漏率的方法、半导体工艺机台 |
US20220178017A1 (en) * | 2020-12-03 | 2022-06-09 | Applied Materials, Inc. | Cfx layer to protect aluminum surface from over-oxidation |
Family Cites Families (25)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH03183125A (ja) * | 1983-09-22 | 1991-08-09 | Semiconductor Energy Lab Co Ltd | プラズマ気相反応方法 |
JPS61250185A (ja) * | 1985-04-25 | 1986-11-07 | Anelva Corp | 真空処理装置のクリ−ニング方法 |
JPS63210275A (ja) * | 1987-02-24 | 1988-08-31 | Semiconductor Energy Lab Co Ltd | プラズマ反応装置内を清浄にする方法 |
US5176791A (en) * | 1988-08-11 | 1993-01-05 | Semiconductor Energy Laboratory Co., Ltd. | Method for forming carbonaceous films |
DE4202158C1 (ko) * | 1992-01-27 | 1993-07-22 | Siemens Ag, 8000 Muenchen, De | |
US5417826A (en) * | 1992-06-15 | 1995-05-23 | Micron Technology, Inc. | Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors |
EP0647163B1 (en) * | 1992-06-22 | 1998-09-09 | Lam Research Corporation | A plasma cleaning method for removing residues in a plasma treatment chamber |
US5252178A (en) * | 1992-06-24 | 1993-10-12 | Texas Instruments Incorporated | Multi-zone plasma processing method and apparatus |
JP3175117B2 (ja) * | 1993-05-24 | 2001-06-11 | 東京エレクトロン株式会社 | ドライクリーニング方法 |
US5756400A (en) * | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
US6347636B1 (en) | 1996-11-13 | 2002-02-19 | Applied Materials, Inc. | Methods and apparatus for gettering fluorine from chamber material surfaces |
US6026762A (en) | 1997-04-23 | 2000-02-22 | Applied Materials, Inc. | Apparatus for improved remote microwave plasma source for use with substrate processing systems |
US6077572A (en) * | 1997-06-18 | 2000-06-20 | Northeastern University | Method of coating edges with diamond-like carbon |
US5981000A (en) * | 1997-10-14 | 1999-11-09 | International Business Machines Corporation | Method for fabricating a thermally stable diamond-like carbon film |
TW437017B (en) | 1998-02-05 | 2001-05-28 | Asm Japan Kk | Silicone polymer insulation film on semiconductor substrate and method for formation thereof |
US6383955B1 (en) | 1998-02-05 | 2002-05-07 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
US6374831B1 (en) | 1999-02-04 | 2002-04-23 | Applied Materials, Inc. | Accelerated plasma clean |
SG101418A1 (en) * | 1999-03-30 | 2004-01-30 | Showa Denko Kk | Production process for magnetic recording medium |
JP3072989B1 (ja) | 1999-05-14 | 2000-08-07 | 日本エー・エス・エム株式会社 | 半導体基板上に薄膜を形成する成膜装置における成膜方法 |
US6255222B1 (en) * | 1999-08-24 | 2001-07-03 | Applied Materials, Inc. | Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process |
KR100767762B1 (ko) | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
US6329297B1 (en) | 2000-04-21 | 2001-12-11 | Applied Materials, Inc. | Dilute remote plasma clean |
US6387207B1 (en) | 2000-04-28 | 2002-05-14 | Applied Materials, Inc. | Integration of remote plasma generator with semiconductor processing chamber |
US6569257B1 (en) * | 2000-11-09 | 2003-05-27 | Applied Materials Inc. | Method for cleaning a process chamber |
JP2002198370A (ja) * | 2000-12-26 | 2002-07-12 | Mitsubishi Electric Corp | 半導体装置および半導体装置の製造方法 |
-
2002
- 2002-09-04 US US10/235,217 patent/US6767836B2/en not_active Expired - Lifetime
-
2003
- 2003-08-25 KR KR1020030058753A patent/KR20040021533A/ko not_active Application Discontinuation
- 2003-08-30 EP EP03019814A patent/EP1452625A3/en not_active Withdrawn
- 2003-09-04 JP JP2003312924A patent/JP4439860B2/ja not_active Expired - Lifetime
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100727259B1 (ko) * | 2005-12-29 | 2007-06-11 | 동부일렉트로닉스 주식회사 | 반도체 장치의 배선 형성방법 |
CN111112267A (zh) * | 2019-12-24 | 2020-05-08 | 西安奕斯伟硅片技术有限公司 | 一种气相沉积反应腔体的清洗装置、清洗系统及清洗方法 |
Also Published As
Publication number | Publication date |
---|---|
US6767836B2 (en) | 2004-07-27 |
US20040043626A1 (en) | 2004-03-04 |
JP2004134766A (ja) | 2004-04-30 |
JP4439860B2 (ja) | 2010-03-24 |
EP1452625A2 (en) | 2004-09-01 |
EP1452625A3 (en) | 2008-08-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR20040021533A (ko) | 반도체 기판에 막을 형성하는 방법 | |
KR102708853B1 (ko) | 실리콘 나이트라이드를 에칭하는 동안 초고선택도를 달성하기 위한 방법 | |
US6569257B1 (en) | Method for cleaning a process chamber | |
KR102158307B1 (ko) | 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스 | |
JP3971398B2 (ja) | 自動清浄シーケンスにより薄膜形成装置内部を清浄化するための方法 | |
JP4889138B2 (ja) | 処理チャンバのための遠隔式プラズマクリーニング方法 | |
US5403434A (en) | Low-temperature in-situ dry cleaning process for semiconductor wafer | |
US5207836A (en) | Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus | |
KR101908737B1 (ko) | 작은-k 절연체를 위한 저손상 포토레지스트 스트립 방법 | |
US5399237A (en) | Etching titanium nitride using carbon-fluoride and carbon-oxide gas | |
US7288284B2 (en) | Post-cleaning chamber seasoning method | |
EP3038142A1 (en) | Selective nitride etch | |
US20050155625A1 (en) | Chamber cleaning method | |
WO2007097822A2 (en) | Enhancement of remote plasma source clean for dielectric films | |
US7207339B2 (en) | Method for cleaning a plasma enhanced CVD chamber | |
KR20080050402A (ko) | Nf₃를 사용한 표면 적층물 제거 방법 | |
KR20050034566A (ko) | 실리콘 탄화물막을 제조하는 방법 | |
KR20160055227A (ko) | 다음 처리 단계 이전에 대기 시간 문제를 최소화하기 위해 에칭 사후의 계면을 안정화하기 위한 방법들 | |
JP2005340804A (ja) | 低温pecvdチャンバのシリコン堆積副生物膜の現場クリーニング法 | |
EP0418592B1 (en) | Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus | |
JP2004363558A (ja) | 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法 | |
US6749717B1 (en) | Device for in-situ cleaning of an inductively-coupled plasma chambers | |
JP2000100806A (ja) | 半導体素子の製造方法 | |
JPH03126873A (ja) | 気相反応装置のチャンバのクリーニング方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WITN | Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid |