KR20160055227A - 다음 처리 단계 이전에 대기 시간 문제를 최소화하기 위해 에칭 사후의 계면을 안정화하기 위한 방법들 - Google Patents

다음 처리 단계 이전에 대기 시간 문제를 최소화하기 위해 에칭 사후의 계면을 안정화하기 위한 방법들 Download PDF

Info

Publication number
KR20160055227A
KR20160055227A KR1020167009488A KR20167009488A KR20160055227A KR 20160055227 A KR20160055227 A KR 20160055227A KR 1020167009488 A KR1020167009488 A KR 1020167009488A KR 20167009488 A KR20167009488 A KR 20167009488A KR 20160055227 A KR20160055227 A KR 20160055227A
Authority
KR
South Korea
Prior art keywords
substrate
dielectric barrier
barrier layer
etch
plasma
Prior art date
Application number
KR1020167009488A
Other languages
English (en)
Inventor
스리니바스 디. 네마니
프라부람 고팔라자
다께히또 고시자와
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160055227A publication Critical patent/KR20160055227A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

후속 계면 보호 층 퇴적 프로세스와 함께 저온 에칭 프로세스를 이용하여 기판 상에 배치된 유전체 배리어 층을 에칭하기 위한 방법들이 제공된다. 일 실시예에서, 기판 상에 배치된 유전체 배리어 층을 에칭하기 위한 방법은, 유전체 배리어 층이 위에 배치되어 있는 기판을 에칭 처리 챔버 내로 이송하는 단계; 유전체 배리어 층 상에서 처리 프로세스를 수행하는 단계; 기판 상에 배치된 처리된 유전체 배리어 층을 에칭하기 위해 에칭 처리 챔버 내로 공급된 에칭 가스 혼합물에서 플라즈마를 원격으로 생성하는 단계; 기판으로부터 유전체 배리어 층을 제거하기 위해 유전체 배리어 층을 플라즈마 어닐링하는 단계; 및 유전체 배리어가 기판으로부터 제거된 이후에 계면 보호 층을 형성하는 단계를 포함한다.

Description

다음 처리 단계 이전에 대기 시간 문제를 최소화하기 위해 에칭 사후의 계면을 안정화하기 위한 방법들{METHODS FOR STABILIZING AN INTERFACE POST ETCH TO MINIMIZE QUEUE TIME ISSUES BEFORE NEXT PROCESSING STEP}
본 발명의 실시예들은 일반적으로 반도체 디바이스들을 형성하기 위한 방법들에 관한 것이다. 보다 구체적으로, 본 발명의 실시예들은 일반적으로 반도체 디바이스들을 제조하기 위해 계면 보호 층 퇴적 프로세스가 뒤따르는 유전체 배리어 층을 에칭하기 위한 방법들에 관한 것이다.
1/2 마이크로미터 이하 그리고 더 작은 피처들을 신뢰성 있게 생산하는 것은 반도체 디바이스들의 차세대 초대규모 집적 회로(VLSI)와 극 초대규모 집적 회로(ULSI)를 위한 핵심 기술 도전들 중 하나이다. 그러나, 회로 기술의 한계들이 밀려옴에 따라, VLSI와 ULSI 인터커넥트 기술의 축소되는 치수들은 처리 능력들에 대해 추가적 요구사항들을 두어 왔다. 기판 상의 게이트 구조체들의 신뢰성 있는 형성은 VLSI와 ULSI 성공에 그리고 개별 기판들과 다이의 회로 밀도와 품질을 증가시키려는 지속적인 노력에 중요하다.
포토레지스트 층과 같은 패터닝된 마스크는 기판 상에서 게이트 구조체, 얕은 트렌치 격리(STI), 비트 라인들 등과 같은 구조체들, 또는 백 엔드 듀얼 다마신 구조체를 에칭하는 도중에 보통 사용된다. 패터닝된 마스크는 원하는 임계 치수들을 갖는 패턴을 포토레지스트의 층에 광학적으로 전사하기 위해서 리소그래피 프로세스를 이용하는 것에 의해 통상적으로 제조된다. 다음으로 포토레지스트 층은 포토레지스트의 원하지 않는 부분을 제거하기 위해서 현상되고, 그로 인해 나머지 포토레지스트 내에 개구들을 형성한다.
집적 회로 컴포넌트들의 치수들이 (예를 들어, 마이크로미터 이하의 치수로) 감소됨에 따라, 그러한 컴포넌트들을 제조하는데 이용되는 재료들은 전기적 성능의 만족스러운 레벨들을 획득하기 위해서 조심스럽게 선택되어야 한다. 예를 들어, 인접한 금속 인터커넥트들 사이의 거리 및/또는 인터커넥트들을 격리시키는 유전체 벌크 절연 재료의 두께가 마이크로미터 이하의 치수들을 가질 때, 금속 인터커넥트들 사이에 용량성 결합이 발생할 가능성이 높다. 인접한 금속 인터커넥트들 사이의 용량성 결합은 집적 회로의 전체 성능을 저하시키는 크로스 토크(cross talk) 및/또는 저항-용량(RC) 지연의 원인이 될 수 있고, 회로를 작동하지 않게 할 수 있다. 인접한 금속 인터커넥트들 사이의 용량성 결합을 최소화하기 위해서는, 저 유전 상수 벌크 절연 재료들(예를 들어, 약 4.0 미만의 유전 상수들)이 필요하다. 저 유전 상수 벌크 절연 재료들의 예들은, 다른 것 중에서, 실리콘 이산화물(SiO2), 실리케이트 글라스, 플루오로실리케이트 글라스(FSG), 및 탄소 도핑된 실리콘 산화물(SiOC)을 포함한다.
또한, 유전체 벌크 절연 재료들로부터 금속 인터커넥트들을 분리하는데 유전체 배리어 층이 종종 이용된다. 유전체 배리어 층은 인터커넥트 재료로부터 유전체 벌크 절연 재료로의 금속의 확산을 최소화한다. 유전체 벌크 절연 재료로의 금속의 확산은 바람직하지 않으며, 그 이유는 그러한 확산이 집적 회로의 전기적 성능에 영향을 미칠 수 있거나, 회로를 작동하지 않게 하기 때문이다. 유전체 층은 전도성 라인들 사이의 유전체 스택의 저 유전율(low-k) 특성을 유지하기 위해서 낮은 유전 상수를 가질 필요가 있다. 또한, 유전체 배리어 층은 유전체 벌크 절연 층 에칭 프로세스를 위한 에칭 스톱 층의 역할을 하여, 그에 의해 기저 금속이 에칭 환경에 노출되지 않을 것이다. 유전체 배리어 층은 약 5.5 이하의 유전 상수를 갖는다. 유전체 배리어 층의 예들은, 다른 것들 중에서, 실리콘 탄화물(SiC) 및 질소 함유 실리콘 탄화물(SiCN)이다.
유전체 배리어 층 에칭 프로세스 이후, 금속의 기저 상부 표면은 공기에 노출된다. 노출된 금속 상에 상호접속(interconnection)을 형성하기 위한 후속 금속화 프로세스 이전에, 기판은 상이한 처리 단계를 수행하기 위한 상이한 진공 환경들 사이에서 이송될 수 있다. 이송 동안, 기판은 대기 시간(Q-타임)이라 불리우는 시간 동안 프로세스 챔버나 제어된 환경 외부에 있어야 될 수 있다. Q-타임 동안, 대기압과 실온에서 산소와 물을 포함하는 주변 환경 조건들에 기판이 노출된다. 그 결과, 주변 환경에서의 산화 조건들에 놓인 기판은, 구리 인터커넥트들을 형성하기 위한 구리 전기 도금 프로세스와 같은 후속 금속화 프로세스 이전에, 금속 표면 상에 천연 산화물들이나 오염물들을 축적할 수 있다.
금속이 에칭 프로세스 이후에 주변 환경 조건들에 노출될 때, 기판 상에 축적되는 산화물 층의 양을 제한하기 위해 엄격한 Q-타임 제한이 항상 적용된다. 일반적으로, 더 긴 Q-타임은 더 두꺼운 산화물 층들이 형성되는 것을 허용한다. 과도한 천연 산화물 축적이나 오염물들은 후속 금속화 프로세스 동안 기판 표면에 부착하기 위한 금속 원소들의 핵형성 능력에 악영향을 미칠 수 있다. 더욱이, 계면에서의 불량한 접착은 원하지 않는 높은 접촉 저항의 원인이 될 수도 있으며, 그로 인해 디바이스의 바람직하지 못하게 불량한 전기적 속성들의 원인이 된다. 또한, 백 엔드 상호접속에서의 금속 원소들의 불량한 핵형성은 디바이스들의 전기적 성능 뿐만 아니라 그 위에 후속하여 형성되는 전도성 접촉 재료의 집적화에도 영향을 미칠 수 있다.
따라서, 최소 기판 산화와 함께 더 긴 Q-타임을 허용하기 위해서, 유전체 배리어 에칭 프로세스 이후에 노출된 금속에 대해 우수한 계면 품질 제어를 이용하여 유전체 배리어 층을 에칭하도록 개선된 방법들이 필요하다.
후속 계면 보호 층 퇴적 프로세스와 함께 저온 에칭 프로세스를 이용하여 기판 상에 배치된 유전체 배리어 층을 에칭하기 위한 방법들이 제공된다. 일 실시예에서, 기판 상에 배치된 유전체 배리어 층을 에칭하기 위한 방법은, 유전체 배리어 층이 위에 배치되어 있는 기판을 에칭 처리 챔버 내로 이송하는 단계, 유전체 배리어 층 상에서 처리 프로세스를 수행하는 단계, 기판 상에 배치된 처리된 유전체 배리어 층을 에칭하기 위해 에칭 처리 챔버 내로 공급된 에칭 가스 혼합물에서 플라즈마를 원격으로 생성하는 단계, 기판으로부터 유전체 배리어 층을 제거하기 위해 유전체 배리어 층을 플라즈마 어닐링하는 단계, 및 유전체 배리어가 기판으로부터 제거된 이후에 계면 보호 층을 형성하는 단계를 포함한다.
다른 실시예에서, 기판 상에 배치된 유전체 배리어 층을 에칭하기 위한 방법은, 기판 상의 듀얼 다마신 구조체에 배치된 유전체 배리어 층을 갖는 기판을 에칭 처리 챔버 내로 이송하는 단계, 기판 상에 배치된 유전체 배리어 층을 에칭하기 위해 에칭 처리 챔버 내로 공급된 에칭 가스 혼합물에서 플라즈마를 생성하는 단계 - 에칭 가스 혼합물은 암모늄 가스 및 3불화 질소를 포함함 - , 기판으로부터 유전체 배리어 층을 제거하기 위해 유전체 배리어 층을 플라즈마 어닐링하는 단계, 및 유전체 배리어가 기판으로부터 제거된 이후에 계면 보호 층을 형성하는 단계를 포함한다.
또 다른 실시예에서, 기판 상에 배치된 유전체 배리어 층을 에칭하기 위한 방법은, 기판 상의 듀얼 다마신 구조체에 배치된 유전체 배리어 층을 갖는 기판을 에칭 처리 챔버 내로 이송하는 단계, 유전체 배리어 층을 처리하기 위해 에칭 처리 챔버 내의 처리 가스 혼합물에 제1의 낮은 RF 바이어스 전력을 인가하는 단계, 에칭 처리 챔버로부터 원격으로 에칭 가스 혼합물에 소스 RF 전력을 인가하는 단계 - 에칭 가스 혼합물은 암모늄 가스 및 3불화 질소를 포함함 - , 기판으로부터 유전체 배리어 층을 제거하기 위해 에칭된 유전체 배리어 층을 어닐링하도록 에칭 처리 챔버 내의 어닐링 가스 혼합물에 제2의 낮은 RF 바이어스 전력을 인가하는 단계, 및 유전체 배리어가 기판으로부터 제거된 이후에 계면 보호 층을 형성하는 단계를 포함한다.
위에서 언급된 본 발명의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 발명의 더 구체적인 설명은 실시예들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 도시되어 있다. 그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 발명의 전형적인 실시예들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 유의해야 한다.
도 1은 본 발명의 실시예들이 실시될 수 있는 예시적인 처리 챔버의 단면도이다.
도 2는 예시적인 멀티-챔버 처리 시스템의 개략적인 평면도이다.
도 3은 본 발명의 일 실시예에 따른, 계면 보호 층 퇴적 프로세스가 뒤따르는 저온 에칭 프로세스를 이용하여 유전체 배리어 층을 에칭하기 위한 흐름도를 도시한다.
도 4a 내지 4e는 본 발명의 일 실시예에 따른, 유전체 배리어 층을 에칭하고 에칭 프로세스 이후에 계면 보호 층을 퇴적하기 위한 시퀀스를 거쳐 반도체 기판 상에 배치된 유전체 배리어 층의 단면도들을 도시한다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 실시예의 요소들 및 특징들은 추가 언급 없이도 다른 실시예들에서 유리하게 통합될 수 있을 것으로 고려된다.
그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 발명의 예시적인 실시예들만을 도시하며, 따라서 발명의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 유의해야 한다.
계면 보호 층 퇴적 프로세스가 뒤따르는 유전체 배리어 층을 에칭하기 위한 방법들이 본 명세서에 개시되며, 이러한 방법들은 높은 에칭 선택도를 갖는 에칭 프로세스 및 에칭 프로세스 이후의 계면 보호를 제공한다. 일 실시예에서, 유전체 배리어 층 에칭 프로세스는 기저 전도성 층까지 오버 에칭하지 않고 유전체 배리어 층을 선택적으로 에칭하기 위해서 저온 에칭 프로세스를 이용하는 것을 포함한다. 계면 보호 층은 유전체 배리어 층 에칭 프로세스 이후에 노출된 기저 전도성 층을 보호하기 위해서 후속하여 수행된다. 에칭 이후에 계면 보호 층의 퇴적과 함께 높은 에칭 선택도를 갖는 에칭 프로세스를 이용함으로써, 우수한 계면 제어가 획득될 수 있다. 추가적으로, 후속 프로세스를 수행하기 이전의 Q-타임 제어는 최소의 산화물 또는 오염물 생성과 함께 확장될 수 있으며, 그로 인해 디바이스 성능의 저하없이 제조 유연성을 증가시킨다.
도 1은 이하 더 설명되는 바와 같이 에칭 프로세스를 수행하기에 적합한 예시적인 처리 챔버(100)의 단면도이다. 챔버(100)는 기판 표면 상에 배치된 재료 층으로부터 재료들을 제거하도록 구성될 수 있다. 챔버(100)는 플라즈마 보조 건식 에칭 프로세스를 수행하기에 특히 유용하다. 본 발명을 실시하기에 적합한 한 처리 챔버(100)는 캘리포니아주 산타클라라의 Applied Materials로부터 입수가능한 SiconiTM 처리 챔버이다. 다른 제조사들로부터 입수가능한 다른 진공 처리 챔버들도 본 발명을 실시하도록 적응될 수 있다는 점이 주목된다.
처리 챔버(100)는 진공을 파괴하지 않고도 기판 표면의 가열 및 냉각 양자 모두를 제공한다. 일 실시예에서, 처리 챔버(100)는 챔버 바디(112), 리드 어셈블리(140)와 지지 어셈블리(180)를 포함한다. 리드 어셈블리(140)는 챔버 바디(112)의 상단부에 배치되고, 지지 어셈블리(180)는 챔버 바디(112) 내에 적어도 부분적으로 배치된다.
챔버 바디(112)는 처리 챔버(100)의 내부로의 액세스를 제공하기 위해 챔버 바디의 측벽 내에 형성된 슬릿 밸브 개구(114)를 포함한다. 슬릿 밸브 개구(114)는 웨이퍼 핸들링 로봇(도시되지 않음)에 의한 챔버 바디(112)의 내부로의 액세스를 허용하기 위해 선택적으로 개폐된다.
하나 이상의 실시예들에서, 챔버 바디(112)는 챔버 바디(112)를 통해 열 전달 유체를 유동시키기 위해 내부에 형성된 채널(115)을 포함한다. 열 전달 유체는 가열 유체나 냉각재일 수 있고, 처리 동안 챔버 바디(112)의 온도를 제어하는데 이용된다. 챔버 바디(112)의 온도의 제어는 챔버 바디(112)의 내부 상의 가스나 부산물들의 원하지 않는 응축을 방지하기 위해 중요하다. 예시적인 열 전달 유체들은 물, 에틸렌 글리콜, 또는 이들의 혼합물을 포함한다. 예시적인 열 전달 유체는 질소 가스를 또한 포함할 수 있다.
챔버 바디(112)는 지지 어셈블리(180)를 둘러싸는 라이너(120)를 더 포함할 수 있다. 라이너(120)는 정비 및 세정을 위해 떼어낼 수 있다. 라이너(120)는 알루미늄과 같은 금속, 세라믹 재료, 또는 임의의 다른 프로세스 호환성 재료로 이루어질 수 있다. 라이너(120)는 라이너(120) 위에 배치되는 임의의 재료의 접착을 증가시키는 표면 거칠기 및/또는 표면적을 증가시키기 위해 블래스트된 비드일 수 있고, 그로 인해 처리 챔버(100)의 오염물들을 야기하는 재료의 플레이킹(flaking)을 방지한다. 하나 이상의 실시예들에서, 라이너(120)는 내부에 형성된, 하나 이상의 애퍼쳐들(apertures; 125), 및 진공 포트(131)과 유체 연통하는 펌핑 채널(129)을 포함한다. 애퍼쳐들(125)은 가스들을 위한 펌핑 채널(129) 내로의 유동 경로를 제공하고, 펌핑 채널은 처리 챔버(100) 내에서의 가스들을 위한 진공 포트(131)로의 출구(egress)를 제공한다.
진공 시스템은 진공 포트(131)에 결합된다. 진공 시스템은 처리 챔버(100)를 통하는 가스들의 유동을 조절하기 위해 진공 펌프(130)와 스로틀 밸브(132)를 포함할 수 있다. 진공 펌프(130)는 챔버 바디(112) 내에 배치된 진공 포트(131)에 결합되고, 따라서, 라이너(120) 내에 형성된 펌핑 채널(129)과 유체 연통한다. 다른 방식으로 기재되지 않는다면, "가스"와 "가스들"이라는 용어들은 교환 가능하게 이용되고, 하나 이상의 전구체들(precursors), 반응물들, 촉매들, 캐리어, 퍼지, 세정, 이들의 조합들뿐만 아니라, 챔버 바디(112) 내로 유입되는 임의의 다른 유체를 지칭한다.
리드 어셈블리(140)는 그 사이에 플라즈마 체적 또는 캐비티를 형성하도록 구성되는 적어도 2개의 스택된 컴포넌트들을 포함한다. 하나 이상의 실시예들에서, 리드 어셈블리(140)는 제2 전극(145)("하부 전극") 위에 수직으로 배치된 제1 전극(143)("상부 전극")을 포함하여, 그 사이에 플라즈마 용적 또는 캐비티(150)를 한정한다. 제1 전극(143)은 RF 전력 공급부와 같은 전력원(152)에 연결되고, 제2 전극(145)은 접지에 연결되어, 2개 전극들(143,145) 사이에 캐패시턴스를 형성한다.
하나 이상의 실시예들에서, 리드 어셈블리(140)는 제1 전극(143)의 상부(156) 내에 적어도 일부가 형성된 하나 이상의 가스 유입구들(154)(1개만 도시됨)을 포함한다. 하나 이상의 프로세스 가스들은 하나 이상의 가스 유입구들(154)을 통해 리드 어셈블리(140)에 들어간다. 하나 이상의 가스 유입구들(154)은 유입구들의 제1 단부에서 플라즈마 캐비티(150)와 유체 연통하고, 유입구들의 제2 단부에서 하나 이상의 업스트림 가스 소스들 및/또는 가스 혼합기들과 같은 다른 가스 전달 컴포넌트들과 연결된다.
하나 이상의 실시예들에서, 제1 전극(143)은 플라즈마 캐비티(150)와 경계를 이루는 연장 섹션(155)을 갖는다. 하나 이상의 실시예들에서, 연장 섹션(155)은 연장 섹션의 상부 부분(155A)으로부터 하부 부분(155B)으로 점진적으로 증가하는 내부 표면 또는 직경(157)을 갖는 환형 부재이다. 이와 같이, 제1 전극(143)과 제2 전극(145) 사이의 거리는 연장 섹션(155)을 거쳐 변할 수 있다. 변하는 거리는 플라즈마 캐비티(150) 내에 생성되는 플라즈마의 형성과 안정성을 제어하는 것을 돕는다.
하나 이상의 실시예들에서, 연장 섹션(155)은 뒤집힌 원뿔대 또는 "깔대기(funnel)"와 비슷하다. 하나 이상의 실시예들에서, 연장 섹션(155)의 내부 표면(157)은 연장 섹션(155)의 상부 부분(155A)으로부터 하부 부분(155B)으로 점진적으로 기울어진다. 내부 직경(157)의 기울기 또는 각도는 프로세스 요건들 및/또는 프로세스 제한들에 따라 변할 수 있다. 연장 섹션(155)의 길이 또는 높이는 특정한 프로세스 요건들 및/또는 제한들에 따라 또한 변할 수 있다.
위에 언급된 바와 같이, 제1 전극(143)의 점진적으로 증가하는 내부 표면(157)으로 인해, 제1 전극(143)의 연장 섹션(155)은 제1 전극(143)과 제2 전극(145) 사이의 수직 거리를 변경한다. 그 가변 거리는 플라즈마 캐비티(150) 내에서의 전력 레벨에 직접적으로 영향을 미친다. 이론에 얽매이지 않길 바라며, 2개의 전극들(143, 145) 사이의 거리의 변동은, 플라즈마가 전체 플라즈마 캐비티(150) 도처에서가 아니라면 플라즈마 캐비티(150)의 일부 부분 내에서 자신을 지속시키는데 필요한 전력 레벨을 찾는 것을 허용한다. 따라서, 플라즈마 캐비티(150) 내에서의 플라즈마는 압력에 덜 의존되어, 플라즈마가 더 넓은 오퍼레이팅 윈도우 내에서 생성되고 지속되게 한다. 이와 같이, 더 반복가능하고 신뢰성 있는 플라즈마가 리드 어셈블리(140) 내에 형성될 수 있다. 플라즈마 캐비티(150)에 생성된 플라즈마는 기판이 진행되는 지지 어셈블리(180) 위의 처리 영역(141) 내로 진입하기 이전에 리드 어셈블리(140)에서 정의되기 때문에, 리드 어셈블리(140)는 원격 플라즈마 소스로서 고려되고 그 이유는 플라즈마가 처리 영역(141)으로부터 원격으로 생성되기 때문이다.
연장 섹션(155)은 위에 설명된 바와 같이 가스 유입구(154)와 유체 연통한다. 하나 이상의 가스 유입구들(154)의 제1 단부는 연장 섹션(155)의 내부 직경의 최상부 지점에서 플라즈마 캐비티(150) 내로 개방될 수 있다. 유사하게, 하나 이상의 가스 유입구들(154)의 제1 단부는 연장 섹션(155)의 내부 직경(157)을 따라 임의의 높이 구간에서 플라즈마 캐비티(150) 내로 개방될 수 있다. 도시되지는 않았지만, 플라즈마 캐비티(150) 내에서 가스들을 혼합하는 것을 돕는 연장 섹션(155) 내로의 선회 유동(swirling flow) 패턴 또는 "와류(vortex)" 유동을 생성하기 위해 2개의 가스 유입구들(154)이 연장 섹션(155)의 맞은 편들에 배치될 수 있다.
리드 어셈블리(140)는 제2 전극(145)으로부터 제1 전극(143)을 전기적으로 격리시키는 아이솔레이터 링(160)을 더 포함할 수 있다. 아이솔레이터 링(160)은 알루미늄 산화물이나 임의의 다른 절연성, 프로세스 호환가능 재료로 이루어질 수 있다. 아이솔레이터 링(160)은 적어도 연장 섹션(155)을 둘러싸거나 실질적으로 둘러싼다.
리드 어셈블리(140)는 제2 전극(145)에 인접한 분배 플레이트(170) 및 차단 플레이트(175)를 더 포함할 수 있다. 제2 전극(145), 분배 플레이트(170) 및 차단 플레이트(175)는 스택될 수 있고 챔버 바디(112)에 연결된 리드 림(178) 상에 배치될 수 있다. 리드 림(178)을 챔버 바디(112)에 연결하기 위해서 힌지 어셈블리(도시되지 않음)가 이용될 수 있다. 리드 림(178)은 열 전달 매체를 순환시키기 위해 내장된 채널 또는 통로(179)를 포함할 수 있다 . 프로세스 요건들에 따라 가열, 냉각, 또는 양자 모두를 위해 열 전달 매체가 이용될 수 있다.
하나 이상의 실시예들에서, 제2 전극 또는 상부 플레이트(145)는 플라즈마 캐비티(150)의 밑에 형성된 복수의 가스 통로들(passages) 또는 애퍼쳐들(165)을 포함하여, 플라즈마 캐비티(150)로부터의 가스가 이들을 통해서 흐르도록 할 수 있다. 분배 플레이트(170)는 실질적 디스크 형상이고, 복수의 애퍼쳐들(172) 또는 통로들(passageways)을 포함하여 이들을 통한 가스들의 유동을 분배한다. 애퍼쳐들(172)은 처리될 기판이 위치되는 챔버 바디(112)의 처리 영역(141)으로 제어되고 균일한 유동 분배를 제공하기 위해 분배 플레이트(170)에 대해 위치와 크기가 정해질 수 있다. 더욱이, 애퍼쳐들(172)은, 기판의 표면을 거쳐 가스의 균일한 분배를 제공하기 위해 가스의 유동을 균일하게 분배하는 것뿐만 아니라, 유동 가스들의 속도 프로파일을 늦추고 재지향함(re-directing)으로써 가스(들)이 기판 표면 상에 직접적으로 악영항을 주는 것을 방지한다.
하나 이상의 실시예들에서, 분배 플레이트(170)는 리드 어셈블리(140)의 온도 제어를 제공하기 위해서 히터 또는 가열 유체를 하우징하기 위한 하나 이상의 내장된 채널들 또는 통로들(174)을 포함한다. 분배 플레이트(170)를 가열시키기 위해 저항성 가열 요소(도시되지 않음)가 통로(174) 내에 삽입될 수 있다. 분배 플레이트(170)에는 플레이트의 온도를 조절하기 위해 열전쌍이 연결될 수 있다. 위에 설명된 바와 같이, 가열 요소에 인가된 전류를 제어하기 위해 열전쌍이 피드백 루프에 이용될 수 있다.
대안적으로, 열 전달 매체는 통로(174)를 통하여 통과될 수 있다. 필요하다면, 챔버 바디(112) 내에서의 프로세스 요건들에 따라 분배 플레이트(170)의 온도를 더 우수하게 제어하기 위해, 하나 이상의 통로들(174)이 냉각 매체를 포함할 수 있다. 예를 들어, 질소, 물, 에틸렌 글리콜, 또는 이들의 혼합물들과 같은 임의의 열 적합 전달 매체가 이용될 수 있다.
하나 이상의 실시예들에서, 리드 어셈블리(140)는 하나 이상 가열 램프들(도시되지 않음)을 이용하여 가열될 수 있다. 통상적으로, 가열 램프들은 분배 플레이트(170)을 포함하여 리드 어셈블리(140)의 컴포넌트들을 복사에 의해 가열시키기 위해 분배 플레이트(170)의 상부 표면의 주위에 배열된다.
차단 플레이트(175)는 제2 전극(145)과 분배 플레이트(170) 사이에 선택사항으로 배치될 수 있다. 차단 플레이트(175)는 제2 전극(145)의 하부 표면에 탈착가능하게 장착된다. 차단 플레이트(175)는 제2 전극(145)과 우수한 열 및 전기 접촉에 있을 수 있다. 하나 이상의 실시예들에서, 차단 플레이트(175)는 볼트 또는 유사한 체결구를 이용하여 제2 전극(145)에 결합될 수 있다. 차단 플레이트(175)는 또한 제2 전극(145)의 외부 직경 상에 엮이거나(threaded) 나사 체결될(screwed) 수 있다.
차단 플레이트(175)는 제2 전극(145)으로부터 분배 플레이트(170)로의 복수의 가스 통로들을 제공하기 위한 복수의 애퍼쳐들(176)을 포함한다. 애퍼쳐들(176)은 가스들의 제어되고 균일한 유동 분배를 분배 플레이트(170)에 제공하기 위해 차단 플레이트(175)에 대해 위치와 크기가 정해질 수 있다.
지지 어셈블리(180)는 챔버 바디(112) 내에서의 처리를 위해 기판(도 1에는 도시되지 않음)을 지지하기 위한 지지 부재(185)를 포함할 수 있다. 지지 부재(185)는 챔버 바디(112)의 바닥면에 형성된 중앙에 위치된 개구(114)를 통하여 연장되는 샤프트(187)를 통하여 리프트 메커니즘(183)에 결합될 수 있다. 리프트 메커니즘(183)은 샤프트(187) 주위로부터의 진공 누설을 방지하는 벨로우즈(188)에 의해 챔버 바디(112)에 가요성으로 밀봉될 수 있다. 리프트 메커니즘(183)은 지지 부재(185)가 챔버 바디(112) 내에서 프로세스 위치와 더 낮은 이송 위치 사이에서 수직적으로 이동되는 것을 허용한다. 이송 위치는 챔버 바디(112)의 측벽에 형성된 슬릿 밸브 개구(114) 약간 아래이어서, 기판은 기판 지지 부재(185)로부터 로봇으로 탈착될 수 있다.
하나 이상의 실시예들에서, 지지 부재(185)는 처리될 기판을 위에 지지하기 위해 평평한 원형 표면 또는 실질적으로 평평한 원형 표면을 갖는다. 지지 부재(185)는 알루미늄으로 구성될 수 있다. 지지 부재(185)는 기판의 후면 오염을 감소시키기 위해, 예를 들어, 실리콘 또는 세라믹 재료와 같은 일부 다른 재료로 이루어진 탈착가능한 상부 플레이트(190)를 포함할 수 있다.
하나 이상의 실시예들에서, 기판(도시되지 않음)은 진공 척을 이용하여 지지 부재(185)에 고정될 수 있다. 하나 이상의 실시예들에서, 기판(도시되지 않음)은 정전 척을 이용하여 지지 부재(185)에 고정될 수 있다. 정전 척은 전극(181)을 둘러싸는 적어도 유전체 재료를 통상적으로 포함하고, 유전체 재료는 지지 부재(185) 상에 위치되거나 지지 부재(185)의 일체 부분으로서 형성될 수 있다. 척의 유전체 부분은 기판으로부터 그리고 지지 어셈블리(180)의 나머지로부터 척 전극(181)을 전기적으로 격리한다.
일 실시예에서, 전극(181)은 복수의 RF 전력 바이어스 소스들(184, 186)에 결합된다. RF 바이어스 전력 소스들(184, 186)은 전극(181)에 RF 전력을 제공하여, 챔버 바디(112)의 처리 영역(141)에 배치된 가스들로부터 형성되는 플라즈마 방전을 여기시키고 지속시킨다.
도 1에 도시된 실시예에서는, 정합 회로(189)를 통하여 듀얼 RF 바이어스 전력 소스들(184, 186)이 지지 부재(185)에 배치된 전극(181)에 결합된다. RF 바이어스 전력 소스들(184, 186)에 의해 생성된 신호는 플라즈마 처리 챔버(100)에 제공된 가스 혼합물을 이온화하기 위해 정합 회로(189)를 통하여 지지 부재(185)에 싱글 피드를 통하여 전달되며, 그로 인해 퇴적, 에칭, 또는 다른 플라즈마 강화된 프로세스를 수행하는데 필요한 이온 에너지를 제공한다. RF 바이어스 전력 소스들(184, 186)은 약 50kHz 내지 약 200MHz의 주파수와 약 0와트 내지 약 5000와트 사이의 전력을 갖는 RF 신호를 일반적으로 생산할 수 있다. 추가적 바이어스 전력 소스들이 필요에 따라 플라즈마의 특성들을 제어하기 위해 전극(181)에 결합될 수 있다.
지지 부재(185)는 리프트 핀들(193)을 수용하기 위해 지지 부재를 관통하여 형성된 보어들(192)을 포함할 수 있고, 리프트 핀들 중 하나가 도 1에 도시된다. 각각의 리프트 핀(193)은 세라믹 재료들이나 세라믹 함유 재료들로 구성되고, 기판 핸들링 및 이송을 위해 사용된다. 리프트 핀(193)은 챔버 바디(112) 내에 배치된 환형 리프트 링(195)과 맞물릴 때 각각의 보어(192) 내에서 이동될 수 있다. 리프트 링(195)은 리프트 링(195)이 상부 위치에 있을 때 리프트 핀(193)의 상부 표면이 지지 부재(185)의 기판 지지 표면 위로 연장될 수 있도록 이동될 수 있다. 반대로, 리프트 링(195)이 하부 위치에 있을 때 리프트 핀들(193)의 상부 표면은 지지 부재(185)의 기판 지지 표면 아래에 위치된다. 따라서, 각각의 리프트 핀(193)은 리프트 링(195)이 하부 위치와 상부 위치 사이에서 이동할 때 지지 부재(185) 내의 각각의 보어(192) 내에서 이동된다.
지지 어셈블리(180)는 지지 부재(185) 주위에 배치된 에지 링(196)을 더 포함할 수 있다. 하나 이상의 실시예들에서, 에지 링(196)은 지지 부재(185)의 외주를 커버하고 지지 부재(185)를 퇴적으로부터 보호하기 위해 적응된 환형 부재이다. 에지 링(196)은 지지 부재(185)의 외부 직경과 에지 링(196)의 내부 직경 사이에 환형 퍼지 가스 채널을 형성하기 위해 지지 부재(185) 상에 또는 지지 부재(185)에 인접하여 위치될 수 있다. 환형 퍼지 가스 채널은 지지 부재(185)와 샤프트(187)를 통하여 형성된 퍼지 가스 도관(197)과 유체 연통할 수 있다. 퍼지 가스 도관(197)은 퍼지 가스를 퍼지 가스 채널에 제공하기 위해 퍼지 가스 공급부(도시되지 않음)와 유체 연통한다. 질소, 아르곤, 또는 헬륨과 같은 임의의 적합한 퍼지 가스가 단독으로 또는 조합으로 이용될 수 있다. 동작에 있어서, 퍼지 가스는 도관(197)을 통하여 퍼지 가스 채널 내로 그리고 지지 부재(185) 상에 배치된 기판의 에지 주위에서 유동한다. 따라서, 에지 링(196)과 협력하여 작용하는 퍼지 가스는 기판의 에지 및/또는 후면에서의 퇴적을 방지한다.
지지 어셈블리(180)의 온도는 지지 부재(185)의 바디에 내장된 유체 채널(198)을 통하여 순환되는 유체에 의해 제어될 수 있다. 하나 이상의 실시예들에서, 유체 채널(198)은 지지 어셈블리(180)의 샤프트(187)를 통하여 배치된 열 전달 도관(199)과 유체 연통한다. 유체 채널(198)은 균일한 열 전달을 지지 부재(185)의 기판 수용 표면에 제공하도록 지지 부재(185)에 위치된다. 유체 채널(198)과 열 전달 도관(199)은 지지 부재(185) 및 지지 부재 위에 배치된 기판을 가열시키거나 또는 냉각시키기 위해 열 전달 유체를 유동시킨다. 물, 질소, 에틸렌 글리콜, 또는 이들의 혼합물들과 같은 임의의 적합한 열 전달 유체가 이용될 수 있다. 지지 부재(185)는 지지 부재(185)의 지지 표면의 온도를 모니터링하기 위해 내장된 열전쌍(도시되지 않음)을 더 포함할 수 있으며, 지지 표면의 온도는 그 위에 배치된 기판의 온도를 나타낸다. 예를 들어, 열전쌍으로부터의 신호는 유체 채널(198)을 통하여 순환되는 유체의 온도나 유속을 제어하기 위한 피드백 루프에서 이용될 수 있다.
지지 부재(185)는 지지 부재(185)와 리드 어셈블리(140) 사이의 거리가 제어될 수 있도록 챔버 바디(112) 내에서 수직으로 이동될 수 있다. 센서(도시되지 않음)는 챔버(100) 내에서의 지지 부재(185)의 위치에 관한 정보를 제공할 수 있다.
동작에 있어서, 지지 부재(185)는 처리중인 기판의 온도를 제어하기 위해 리드 어셈블리(140)의 가까운 근처로 상승될 수 있다. 이와 같이, 기판은 분배 플레이트(170)로부터 방출되는 복사를 통해 가열될 수 있다. 대안적으로, 기판은 리프트 링(195)에 의해 작동되는 리프트 핀(193)을 이용하여 가열된 리드 어셈블리(140)의 가까운 근처로 지지 부재(185)에서 리프트 오프될 수 있다.
처리 챔버(100)의 동작들을 조절하기 위해서 시스템 제어기(도시되지 않음)가 이용될 수 있다. 시스템 제어기는 컴퓨터의 메모리 상에 저장된 컴퓨터 프로그램의 제어 하에서 동작할 수 있다. 컴퓨터 프로그램은 처리 챔버(100)에서 이하 설명되는 프로세스가 수행될 수 있게 하는 명령어들을 포함할 수 있다. 예를 들어, 컴퓨터 프로그램은 프로세스 시퀀싱과 타이밍, 가스들의 혼합물, 챔버 압력들, RF 전력 레벨들, 서셉터 위치설정, 슬릿 밸브 개폐, 기판 냉각 및 특정 프로세스의 다른 파라미터들을 지시할 수 있다.
도 2는 본 명세서에 개시된 바와 같은 프로세스들을 수행하도록 적응될 수 있으며, 처리 챔버(100)가 결합되는 예시적인 멀티-챔버 처리 시스템(200)의 개략적 평면도이다. 시스템(200)은 시스템(200)의 내외부로 기판들을 이송하기 위한 하나 이상 로드 록 챔버들(202, 204)을 포함할 수 있다. 통상적으로, 시스템(200)이 진공 하에 있으므로, 로드 록 챔버들(202, 204)은 시스템(200) 내에 도입되는 기판들을 "펌프 다운(pump down)"할 수 있다. 제1 로봇(210)은 로드 록 챔버들(202, 204)과 하나 이상의 기판 처리 챔버들(212, 214, 216, 100)(4개가 도시됨)의 제1 세트 사이에서 기판들을 이송할 수 있다. 각각의 처리 챔버(212, 214, 216, 100)는 에칭 프로세스, 주기적 층 퇴적(CLD), 원자층 퇴적(ALD), 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 탈기, 배향 및 다른 기판 프로세스들과 같은 기판 처리 동작들 중 적어도 하나를 수행하도록 구성된다. 에칭 프로세스를 수행하는데 이용되는 처리 챔버(100)의 다른 챔버들(212, 214, 216)에 대한 상대 위치는 예시를 위한 것이고, 처리 챔버(100)의 위치는 원한다면 처리 챔버들(212, 214, 216) 중 임의의 하나와 선택사항으로 바뀔 수 있다.
제1 로봇(210)은 하나 이상의 이송 챔버들(222, 224)로/로부터 기판들을 이송할 수 있다. 이송 챔버들(222, 224)은 기판들이 시스템(200) 내에서 이송되게 하면서 초고진공 상태를 유지하는데 이용될 수 있다. 제2 로봇(230)은 이송 챔버들(222, 224)과 하나 이상 처리 챔버들(232, 234, 236, 238)의 제2 세트 사이에서 기판들을 이송할 수 있다. 처리 챔버들(212, 214, 216, 100)과 유사하게, 처리 챔버들(232, 234, 236, 238)은 본 명세서에 설명되는 건식 에칭 프로세스들을 포함하며, 예를 들어, 퇴적, 선세정, 탈기, 및 배향을 포함하는 임의의 다른 적합한 프로세스를 포함하는 다양한 기판 처리 동작들을 수행하도록 구비될 수 있다. 기판 처리 챔버들(212, 214, 216, 100, 232, 234, 236, 238) 중 임의의 것은 시스템(200)에 의해 수행될 특정 프로세스를 위해 필요하지 않다면 시스템(200)으로부터 제거될 수 있다.
도 3은 높은 에칭 선택도를 이용하여 기판 상에 배치된 유전체 배리어 층을 에칭하기 위해 에칭 프로세스를 수행하는데 이용되는 프로세스 시퀀스(300)을 도시한다. 도 3에서 설명된 시퀀스는 도 4a 내지 4e에 도시된 가공 단계들에 대응하고, 도 4a 내지 4e는 계면 보호 층 퇴적 프로세스의 퇴적이 뒤따르는 유전체 배리어 층(408)을 에칭하는 상이한 단계들 동안 듀얼 다마신 구조체(402)가 위에 형성되어 있는 기판(400)의 개략적인 단면도들을 도시한다.
도 1에 도시된 처리 챔버(100)나 다른 적합한 처리 챔버와 같은 처리 챔버 내로, 도 4a에 도시된 기판(400)과 같은 기판을 이송함으로써 블록(302)에서 프로세스 시퀀스(300)가 시작된다. 기판(400)은 실질적으로 평평한 표면, 불균일한 표면, 또는 구조체가 위에 형성되어 있는 실질적으로 평평한 표면을 가질 수 있다. 도 4a에 도시된 기판(400)은 기판(400) 상에 형성된 듀얼 다마신 구조체(402)를 포함한다. 일 실시예에서, 기판(400)은 결정질 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드(strained) 실리콘, 실리콘 게르마늄, 도핑되거나 도핑되지 않은 폴리실리콘, 도핑되거나 도핑되지 않은 실리콘 웨이퍼들 및 패터닝되거나 패터닝되지 않은 웨이퍼들, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료일 수 있다. 기판(400)은, 200mm, 300㎜ 또는 450mm 직경 웨이퍼들뿐만 아니라 직사각형 또는 정사각형 패널들과 같은 다양한 치수들을 가질 수 있다. 달리 언급되지 않으면, 본 명세서에서 설명되는 실시예들과 예들은 300㎜ 직경 또는 450㎜ 직경을 갖는 기판들 상에서 수행된다.
일 실시예에서, 듀얼 다마신 구조체(402)는 백 엔드 반도체 프로세스에서 이용되는 인터커넥트 구조체이다. 듀얼 다마신 구조체(402)는 기판(400) 상에 배치된 유전체 배리어 층(408)을 포함한다. 도 4a에 도시된 바와 같이, 유전체 층에 의해 측방향으로 내부에서 경계를 이루며 배치된, 구리 라인과 같은 적어도 하나의 전도성 층을 갖도록 구성된 개구(411)가 내부에 형성되어 있는 유전체 스택(444)이 기판(400) 상에 배치된다. 유전체 스택(444)은 유전체 배리어 층(408) 위에 배치된 유전체 벌크 절연 층(406)을 포함한다. 하드마스크 층(404)은 유전체 벌크 절연 층(406)의 상단에 배치될 수 있다. 개구(411)는 듀얼 다마신 에칭 프로세스와 같은 적합한 에칭 프로세스에 의해 유전체 벌크 절연 층(406)에서 비아(407) 상에 형성된 트렌치(405)를 포함할 수 있다. 일 실시예에서, 유전체 벌크 절연 층(406)은 4.0 미만의 유전 상수를 갖는 유전체 재료(예를 들어, 저 유전율(low-k) 재료)이다. 적합한 재료들의 예들은 Applied Materials, Inc.로부터 입수가능한 BLACK DIAMOND® 유전체 재료와 같은 탄소 함유 실리콘 산화물들(SiOC), 및 폴리아미드들과 같은 다른 저 유전율 폴리머들을 포함한다. 유전체 벌크 절연 층(406) 상에 배치된 하드마스크 층(404)은 실리콘 산화물, TEOS, 실리콘 산질화물, 비정질 탄소 등으로 구성되는 그룹으로부터 선택된 유전체 층일 수 있다. 도 4a 내지 도 4e에 도시된 실시예에서, 유전체 벌크 절연 층(406)은 탄소 함유 실리콘 산화물(SiOC) 층이고, 하드마스크 층(404)은 TEOS 층, 실리콘 산화물 층 또는 비정질 탄소 층이다.
유전체 배리어 층(408)은 약 5.5 이하의 유전 상수를 갖는다. 일 실시예에서, 유전체 배리어 층(408)은 탄소 함유 실리콘 층(SiC), 질소 도핑된 탄소 함유 실리콘 층(SiCN) 등을 포함한다. 도 4a에 도시된 실시예에서, 유전체 배리어 층은 SiCN 필름이다. 유전체 배리어 층 재료의 예는 Applied Materials, Inc로부터 입수가능한 BLOK® 유전체 재료이다.
도 4a에 도시된 실시예에서, 유전체 스택(420)은 개구(411)를 통하여 에칭되며, 그로 인해 유전체 배리어 층(408) 위의 유전체 벌크 절연 층(406)에서 비아(407) 상에 트렌치(405)를 정의하며, 또는 그 반대도 가능하다. 유전체 벌크 절연 층(406)의 일부는 유전체 배리어 층(408)의 표면(410)을 노출시키기 위해 제거된다. 인터커넥트 층(440)에 존재하는 전도성 층(442)은 유전체 배리어 층(408)에 형성된 비아(407) 아래에 있다. 일 실시예에서, 유전체 벌크 절연 층(406)은 불소 및 탄소로부터 형성된 플라즈마를 이용하여 에칭된다. 유전체 벌크 절연 층(406)은 처리 챔버(100) 또는 다른 적절한 반응기에서 에칭될 수 있다.
블록(304)에서는, 후속 화학적 에칭 프로세스에서 유전체 배리어 층(408)의 제거를 용이하게 하도록 표면 특성들을 변경하기 위해 유전체 배리어 층(408)의 노출된 표면들(410)을 처리하는 처리 프로세스가 수행된다. 블록(304)에서 수행되는 처리 프로세스는 챔버(100) 내로 처리 가스 혼합물을 공급하는 것을 포함한다. 다음으로 유전체 벌크 절연 층(406)에 의해 노출된 유전체 배리어 층(408)의 표면들(410)을 플라즈마 처리하기 위해 플라즈마가 처리 가스 혼합물로부터 형성된다. 처리 프로세스는 유전체 배리어 층(408)을 여기 상태로 활성화시켜, 도 4c에 도시된 바와 같이, 유전체 벌크 절연 층(406)에 의해 보호되지 않는 영역에 처리된 유전체 배리어 층(412)을 형성한다. 그 후, 처리된 유전체 배리어 층(412)은 블럭(306)에서 처리 챔버(100)에 후속하여 공급되는 화학적 에칭 가스들과 용이하게 반응하여, 처리 챔버(100)로부터 쉽게 펌핑 아웃되는 휘발성 가스 부산물들을 형성할 수 있다.
일 실시예에서, 처리 가스 혼합물은 수소 함유 가스, 질소 함유 가스, 또는 불활성 가스 중 적어도 하나를 포함한다. 처리 가스 혼합물에서 공급된 수소 함유 가스, 질소 함유 가스, 또는 불활성 가스는 처리 가스 혼합물로부터 형성된 플라즈마에서의 이온들의 수명을 증가시키는 것을 도울 수 있다고 생각된다. 이온들의 증가된 수명은 기판(400) 상의 유전체 배리어 층(408)과의 반응 및 활성화를 도울 수 있고, 그로 인해 후속 화학적 에칭 프로세스 동안 기판(400)으로부터 활성화된 유전체 배리어 층(412)의 제거를 강화한다. 수소 함유 가스가 처리 가스 혼합물에 이용되는 실시예에서, 수소 함유 가스로부터의 수소 원자들은 유전체 배리어 층(408)에 함유된 실리콘 원자들과 반응할 수 있고, 그로 인해 처리된 유전체 배리어 층(412) 상에 접합되는 Si-H 또는 Si-OH의 약한 댕글링 접합(weak and dangling bond)을 형성한다. Si-H 또는 Si-OH 접합 단자들을 이용하여 처리된 유전체 배리어 층(412)은 처리 챔버(100)에 후속하여 공급되는 다른 에칭제들에 의해서 쉽게 흡수될 수 있으며, 그로 인해 기판 표면으로부터 처리된 유전체 배리어 층(412)을 쉽게 제거하는데 도움을 준다.
일 실시예에서, 처리 챔버(100) 내로 공급되는 수소 함유 가스는 H2, H2O 등 중 적어도 하나를 포함한다. 처리 챔버(100) 내로 공급되는 질소 함유 가스는 N2, N2O, NO2, NH3 등 중 적어도 하나를 포함한다. 처리 챔버(100) 내로 공급되는 불활성 가스는 Ar, He, Kr 등 중 적어도 하나를 포함한다. 예시적 실시예에서, 처리 프로세스를 수행하기 위해 처리 챔버(100) 내로 공급되는 수소 함유 가스는 H2 가스이고, 처리 프로세스를 수행하기 위해 처리 챔버(100) 내로 공급되는 질소 함유 가스는 N2 가스이고, 불활성 가스는 He 또는 Ar이다.
플라즈마 처리 프로세스 동안, 여러 프로세스 파라미터들이 처리 프로세스를 제어하기 위해 조절될 수 있다. 일 예시적인 실시예에서, 처리 챔버(100)에서의 프로세스 압력은 약 10밀리토르 내지 약 5000밀리토르 사이에서, 예컨대 약 10밀리토르 내지 약 200밀리토르 사이에서 조절된다. 처리 가스 혼합물에서 플라즈마를 유지하기 위해서 약 13MHz의 주파수에서의 RF 바이어스 전력이 인가될 수 있다. 예를 들어, 처리 챔버(100) 내부에서 플라즈마를 유지하기 위해서 약 20와트 내지 약 200와트의 RF 바이어스 전력이 인가될 수 있다. 처리 가스 혼합물은 약 200sccm 내지 약 800sccm 사이의 레이트로 챔버 내로 유동될 수 있다. 기판 온도는 약 섭씨 25도 내지 약 섭씨 300도 사이에서, 예컨대 약 섭씨 50도 내지 약 섭씨 140도 사이에서, 예를 들어 약 섭씨 50도 내지 약 섭씨 110도 사이에서 유지된다.
일 실시예에서, 기판(400)은 가스의 동작 온도, 압력 및 유량에 따라서, 약 5초 내지 약 5분 사이 동안 처리 프로세스의 대상이 된다. 예를 들어, 기판은 약 30초 내지 약 90초 동안 선처리 프로세스들에 노출될 수 있다. 예시적인 실시예에서, 기판은 약 90초 이하 동안 처리 프로세스에 노출된다.
블록(306)에서는, 도 4c에 도시된 바와 같이, 기판(400) 상에서 처리된 유전체 배리어 층(412)을 에칭하기 위해 원격 플라즈마 에칭 프로세스가 기판(400) 상에서 수행된다. 원격 플라즈마 에칭 프로세스는 기판(400) 상에서 유전체 벌크 절연 층(406)에 의해 노출된 처리된 유전체 배리어 층(412)을 서서히 제거하기 위해 수행되는 화학적 프로세스이다. 처리된 유전체 배리어 층(412)을 에칭하기 위해 처리 가스를 유동시키기 이전에, 처리 가스 혼합물로부터 플라즈마 캐비티(150)에 원격 플라즈마 소스를 형성하기 위해 에칭 가스 혼합물을 처리 챔버(100) 내의 플라즈마 캐비티(150) 내로 공급함으로써 원격 플라즈마 에칭 프로세스가 수행된다.
일 실시예에서, 처리된 유전체 배리어 층(412)을 제거하는데 이용되는 에칭 가스 혼합물은 암모니아(NH3) 및 3불화 질소(NF3) 가스들의 혼합물이다. 처리 챔버 내로 도입된 각각의 가스의 양은, 예를 들어, 제거될 처리된 유전체 배리어 층(412)의 두께, 처리되는 기판의 기하형상, 플라즈마 캐비티의 체적 용량, 챔버 바디의 체적 용량뿐만 아니라, 챔버 바디에 결합된 진공 시스템의 능력들을 수용하기 위해 변경되고 조절될 수 있다.
플라즈마가 플라즈마 캐비티(150)에서 원격으로 생성됨에 따라, 원격 소스 플라즈마로부터의 에칭 가스 혼합물로부터 해리된 에칭제들은 상대적으로 순하고 온화하며, 이에 따라 기저 전도성 층(442)가 노출될 때까지 처리된 유전체 배리어 층(412)을 서서히, 약하게 그리고 점진적으로 화학적으로 반응시킨다. 원격 플라즈마 소스에서, 암모니아(NH3) 가스 및 3불화 질소(NF3) 가스는 원격 플라즈마 캐비티(150)에서 해리되어, 불화 암모늄(NH4F) 및/또는 HF를 갖는 불화 암모늄(NH4F.HF)을 형성시키는 것으로 생각된다. 일단 불화 암모늄(NH4F) 및 HF를 갖는 불화 암모늄(NH4F.HF)의 에칭제들이 처리 챔버(100)의 처리 영역(141)에 도입되어, 기판 표면 상에 도달하면, 불화 암모늄(NH4F) 및 HF를 갖는 불화 암모늄(NH4F.HF)의 에칭제들은 실리콘 산화물과 같은 재료 층(404)의 유전체 재료들과 반응하여, 대부분 고체 상태로 (NH4)2SiF6를 형성할 수 있다. 불화 암모늄(NH4F) 및 HF를 갖는 불화 암모늄(NH4F.HF)의 에칭제들은 처리된 유전체 배리어 층(412)을 화학적으로 반응시켜, 고체 상태로 (NH4)2SiF6를 형성할 수 있고, (NH4)2SiF6은 저온 승화 프로세스를 이용함으로써 기판 표면으로부터 나중에 제거될 것이고, 이에 대하여는 블럭(308)에서 더 상세히 논의될 것이다.
하나 이상의 실시예들에서, 암모니아(NH3) 대 3불화 질소(NF3)의 적어도 1:1 몰 비를 갖는 에칭 가스 혼합물을 제공하기 위해서 가스들이 첨가되었다. 하나 이상의 실시예들에서, 에칭 가스 혼합물의 몰 비는 적어도 약 3:1(암모니아 대 3불화 질소)이다. 가스들은 약 5:1(암모니아 대 3불화 질소) 내지 약 30:1의 몰 비로 챔버(100) 내에 도입된다. 또 다른 실시예에서, 에칭 가스 혼합물의 몰 비는 약 5:1(암모니아 대 3불화 질소) 내지 약 10:1이다. 에칭 가스 혼합물의 몰 비는 약 10:1(암모니아 대 3불화 질소) 내지 약 20:1 사이에 들어갈 수도 있다.
일 실시예에서, 진공 처리 챔버(100)의 처리 영역(141) 내로 에칭 가스 혼합물을 운반하는 것을 돕기 위해 불활성 가스 또는 캐리어 가스와 같은, 다른 유형들의 가스도 에칭 가스 혼합물에 공급될 수 있다. 불활성 가스 또는 캐리어 가스의 적합한 예들은 Ar, He, N2, O2, N2O, NO2, NO 등 중 적어도 하나를 포함한다. 일 실시예에서, 불활성 또는 캐리어 가스는 진공 처리 챔버(100) 내로 공급될 수 있고 약 200sccm 내지 약 1500sccm 사이의 체적 유량의 Ar 또는 He이다.
원격 플라즈마 소스 에칭 프로세스를 수행하기 위해 에칭 가스 혼합물을 공급하면서, 기판 온도는 낮은 범위로, 예컨대 약 섭씨 100도 미만으로, 예컨대 약 섭씨 40도 내지 약 섭씨 100도 사이로 유지될 수 있다. 낮은 범위로, 예컨대 섭씨 100도 미만으로 기판 온도를 유지하는 것은 에칭 프로세스의 에칭 레이트를 증가시키는 것을 도울 수 있다고 생각된다. 과도하게 높은 온도는 에칭을 위해 원하는 에칭제들, 불화 암모늄(NH4F) 및/또는 HF를 갖는 불화 암모늄(NH4F.HF)의 에칭제들을 형성하기 위한 암모니아(NH3)와 3불화 질소(NF3) 사이의 화학 반응을 억제할 것으로 생각된다. 3불화 질소(NF3)는 상승된 온도들에서 비교적 열역학적으로 안정적이므로, 에칭 프로세스 동안 이용되는 저온은 에칭되는 처리된 유전체 배리어 층(412) 상으로의 플라즈마 종들의 플라즈마의 표면 흡착을 촉진할 수 있다. 따라서, 약 섭씨 100도 미만의 범위로 기판 온도를 제어하는 것은 에칭 프로세스 동안 에칭 레이트를 바람직하게 강화하고, 그로 인해 전체 에칭 프로세스 수율을 증가시킬 수 있다.
에칭 가스 혼합물이 처리 챔버 내로 공급되고, 약 섭씨 100도 미만과 같은 저온 기판에 노출된 이후, 처리된 유전체 배리어 층(412)이 다음으로 에칭되어, 도 4c에 도시된 바와 같이, 기판 표면 상에 암모늄 플루오로실리케이트((NH4)2SiF6)와 같은 고체 에칭 부산물(414)을 형성할 수 있다. 기판(400) 상에 잔류하는 에칭 부산물(414), (NH4)2SiF6은 약 섭씨 100도와 같은 비교적 낮은 융점을 가지며, 이는 부산물(414)이 승화 프로세스에 의해 기판으로부터 제거되는 것을 허용하며, 이에 대하여는 이하 블록(308)에서 더 논의될 것이다. 기판(400) 상에 배치된 처리된 유전체 배리어 층(412)이 모두 반응하여 에칭 부산물(414)로 전환될 때까지 에칭 프로세스가 계속 수행될 수 있다.
에칭 프로세스 동안, 에칭 프로세스를 제어하기 위해 여러 프로세스 파라미터들이 조절될 수 있다. 예시적인 일 실시예에서, 처리 챔버(100)에서의 프로세스 압력은 약 10밀리토르 내지 약 5000밀리토르 사이에서, 예컨대 약 800밀리토르와 약 5토르 사이에서 조절된다. 화학적 에칭 가스 혼합물에서 플라즈마를 유지하기 위해서 약 80KHz의 주파수에서의 RF 소스 전력이 인가될 수 있다. 예를 들어, 약 20와트 내지 약 70와트의 RF 소스 전력이 에칭 가스 혼합물에 인가될 수 있다. 여기서 RF 소스 전력이라 지칭되는 것은 전력 소스(152)로부터 전극들(143, 145)에 공급되는 RF 전력일 수 있다. 일 실시예에서, RF 소스 전력은 약 80KHz의 주파수를 가질 수 있다. 추가적으로, 바이어스 전력을 생성하기 위해 전극(181)에 RF 바이어스 전력이 공급될 수 있다. 예를 들어, 약 10와트 내지 약 1000와트 사이의 약 13 또는 60MHz의 주파수에서의 RF 바이어스 전력이 에칭 가스 혼합물에 인가될 수 있다. 에칭 가스 혼합물은 약 400sccm 내지 약 2000sccm 사이의 레이트로 챔버 내로 유동될 수 있다. 일 실시예에서, 에칭 프로세스는 약 60초 내지 약 2000초 사이 동안 수행될 수 있다.
블록(308)에서는, 에칭 프로세스가 완료되고 처리된 유전체 배리어 층(412)이 실질적으로 반응하여 에칭 부산물로 전환된 이후, 에칭 부산물(414)을 처리 챔버(100) 밖으로 펌핑될 수 있는 휘발성 상태로 승화시키기 위해 승화 프로세스가 수행된다. 승화 프로세스는, 도 4d에 도시된 바와 같이, 에칭 부산물(414)을 기판(400)으로부터 제거하여, 기저 전도성 층(442)를 노출시킨다. 위에 설명된 바와 같은 처리 챔버(100)와 같이, 블록(306)에서의 원격 플라즈마 에칭 프로세스가 수행되는 것과 동일한 챔버에서 승화 프로세스가 수행될 수 있다. 대안적으로, 승화 프로세스는 필요에 따라 시스템(200)의 별도의 처리 챔버에서 수행될 수 있다.
승화 프로세스는 기판(400)으로부터 에칭 부산물(414)을 승화시키기 위해 플라즈마 에너지를 이용하는 플라즈마 어닐링 프로세스일 수 있다. 플라즈마로부터의 열 에너지는, 통상적으로 고온 어닐링 프로세스를 이용하지 않고, 암모늄 플루오로실리케이트((NH4)2SiF6)와 같은 에칭 부산물(414)에 대한 낮은 융점(승화점)(melting (sublimation) point)의 특성에 의해, 에칭 부산물(414)을 효과적으로 제거할 수 있다.
일 실시예에서, 승화 프로세스는 기판 표면을 손상하지 않고 기판을 약하게 그리고 온화하게 처리하기 위해 낮은 RF 바이어스 전력 플라즈마 처리 프로세스를 이용할 수 있다. 일 실시예에서, 저온 플라즈마 프로세스는, 기판 표면으로부터 에칭 부산물들(414)을 승화시키기 위해, 약 섭씨 20도와 약 섭씨 150도 사이, 예컨대 약 섭씨 110도로 기판 온도를 제어하는 것과 함께, 약 300와트 미만과 같은 낮은 RF 바이어스 전력을 이용할 수 있다.
승화 프로세스는 챔버(100) 내로 플라즈마 어닐링 가스 혼합물을 공급함으로써 수행된다. 다음으로 플라즈마는 기판(400)을 플라즈마 어닐링하기 위한 플라즈마 어닐링 가스 혼합물로부터 형성되고, 처리 챔버(100) 밖으로 쉽게 펌핑되는 휘발성 가스 부산물들을 형성한다.
일 실시예에서, 플라즈마 어닐링 가스 혼합물은 수소 함유 가스, 질소 함유 가스, 또는 불활성 가스 중 적어도 하나를 포함한다. 플라즈마 어닐링 가스 혼합물에 공급된 수소 함유 가스, 질소 함유 가스, 또는 불활성 가스는 플라즈마 어닐링 가스 혼합물로부터 형성된 플라즈마에서의 이온들의 수명을 증가시키는 것을 돕고, 그로 인해 기판(400)으로부터 에칭 부산물들(414) 효과적으로 제거할 수 있다고 생각된다. 이온들의 증가된 수명은 기판(400) 상의 에칭 부산물(414)과의 반응 및 활성화를 도울 수 있고, 그로 인해 기판(400)으로부터 에칭 부산물(414)의 제거를 강화한다.
일 실시예에서, 처리 챔버(100) 내로 공급되는 수소 함유 가스는 H2, H2O 등 중 적어도 하나를 포함한다. 처리 챔버(100) 내로 공급되는 질소 함유 가스는 N2, N2O, NO2, NH3 등 중 적어도 하나를 포함한다. 처리 챔버(100) 내로 공급되는 불활성 가스는 Ar, He, Kr 등 중 적어도 하나를 포함한다. 예시적 실시예에서, 처리 프로세스를 수행하기 위해 처리 챔버(100) 내로 공급되는 수소 함유 가스는 H2 가스이고, 처리 프로세스를 수행하기 위해 처리 챔버(100) 내로 공급되는 질소 함유 가스는 N2 가스이고, 불활성 가스는 He 또는 Ar이다.
플라즈마 어닐링 프로세스 동안, 여러 프로세스 파라미터들이 처리 프로세스를 제어하기 위해 조절될 수 있다. 일 예시적인 실시예에서, 처리 챔버(100)에서의 프로세스 압력은 약 10밀리토르 내지 약 5000밀리토르 사이에서, 예컨대 약 10밀리토르 내지 약 200밀리토르 사이에서 조절된다. 처리 가스 혼합물에서 플라즈마를 유지하기 위해서 약 13MHz의 주파수에서의 RF 바이어스 전력이 인가될 수 있다. 예를 들어, 처리 챔버(100) 내부에서 플라즈마를 유지하기 위해서 약 20와트 내지 약 300와트의 RF 바이어스 전력이 인가될 수 있다. 플라즈마 어닐링 가스 혼합물은 약 100sccm 내지 약 1000sccm 사이의 레이트로 챔버 내로 유동될 수 있다. 기판 온도는 약 섭씨 20도 내지 약 섭씨 150도 사이에서, 예컨대 약 섭씨 110도로 유지된다. 일부 실시예에서는, 전극들(143, 145)에 어떠한 전력도 인가되지 않는다.
블록(310)에서는, 에칭 부산물(414)이 기저 전도성 층(442)을 노출시키기 위해 기판으로부터 제거된 이후, 계면 보호 층(422)이, 도 4e에 도시된 바와 같이, 에칭된 유전체 벌크 절연 층(406)과 전도성 층(442)의 표면 상에 형성된다. 계면 보호 층(422)은 처리 챔버(100) 내로 프로세스 가스 혼합물을 유동시킴으로써 퇴적된다. 처리 챔버(100) 내로 유동된 프로세스 가스 혼합물은 전도성 층(442)의 노출된 표면을 주변 환경에 놓일 때 추가적 오염 또는 산화로부터 보호하기 위해서 계면 보호 층(422)을 형성하기 위한 퇴적 프로세스를 수행하고, 그로 인해 프로세스 Q-타임이 증가되는 것을 허용한다. 프로세스 가스 혼합물은 탄소와 실리콘 원소들을 함유하는 폴리머 가스를 포함할 수 있다. 일 실시예에서, 프로세스 가스 혼합물은 아르곤 가스(Ar), 헬륨 가스(He), 산화 질소(NO), 일산화 탄소(CO), 아산화 질소(N2O), 산소 가스(O2), 질소 가스(N2) 등과 같은 적어도 하나의 캐리어 가스와 동반하는 폴리머 가스를 포함할 수 있지만, 이에 제한되는 것은 아니다. 폴리머 가스의 적합한 예들은 다른 것들 중에서도 플루오로알킬 폴리옥시에틸렌(fluoroalkyl polyoxyethylene), 폴리디메틸시옥산(polydimethylsioxane), 트리메틸실란(TMS 또는 3MS), 테트라메틸실란(TMS 또는 4MS), 옥타메틸시클로테트라실란(OMCTS), 헥사메틸디실란(HMDS) 등을 포함한다. 일 실시예에서, 계면 보호 층(422)은 실리콘 산화물 층과 같은 실리콘 함유 층이다.
프로세스 가스 혼합물이 에칭 반응기로 공급되는 동안 여러 프로세스 파라미터들이 조절된다. 일 실시예에서, 에칭 반응기에서의 프로세스 가스 혼합물의 압력은 약 10밀리토르 내지 약 500밀리토르 사이에서 조절되고, 기판 온도는 약 섭씨 0도와 약 섭씨 100도 사이에서 유지된다. RF 소스 전력은 약 0와트 내지 약 1000와트의 전력으로 인가될 수 있다. 프로세스 가스 혼합물은 약 1sccm 내지 약 100sccm 사이의 레이트로 유동될 수 있다.
계면 보호 층(422)의 두께는 임의의 적합한 방법들에 의해 결정될 수 있다. 일 실시예에서는, 약 1Å 내지 약 200Å 사이의 두께를 갖는 계면 보호 층(422)이 퇴적될 수 있다. 다른 실시예에서, 계면 보호 층(422)의 두께는 광학적 방출들을 모니터링함으로써, 미리 정의된 시간의 만료에 의해서, 또는 보호 층이 충분히 형성되는 것을 측정하기 위한 다른 인자에 의해서 결정될 수 있다.
듀얼 다마신 구조체(402) 상의 계면 보호 층 퇴적 프로세스는 처리 챔버(100)에서 인-시튜(in-situ) 퇴적되고 완료된다. 대안적인 실시예에서, 계면 보호 층 퇴적 프로세스는 다른 진공 처리 챔버에서 선택적으로 익스-시튜(ex-situ) 퇴적되거나 에칭될 수 있다.
따라서, 계면 보호 층 퇴적 프로세스가 뒤따르는 높은 에칭 선택도를 갖는 에칭 프로세스를 위한 방법 및 장치가 제공된다. 이 방법은 에칭 프로세스 이후에 노출된 전도성 층을 보호하기 위해 계면 보호 층을 제공하면서 우수한 계면 제어로 높은 에칭 선택도를 이용하여 유전체 배리어 층을 에칭할 수 있다. 계면 보호 층의 퇴적을 이용함으로써, 우수한 계면 제어가 획득될 수 있고, 프로세스 Q-타임 또한 연장되어, 더 넓은 프로세스 윈도우 및 신뢰성있는 제조 예측가능성을 제공할 수 있다.
전술한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들 및 추가 실시예들은 발명의 기본 범위로부터 벗어나지 않고서 고안될 수 있으며, 발명의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판 상에 배치된 유전체 배리어 층을 에칭하기 위한 방법으로서,
    유전체 배리어 층이 위에 배치되어 있는 기판을 에칭 처리 챔버 내로 이송하는 단계;
    상기 유전체 배리어 층 상에서 처리 프로세스를 수행하는 단계;
    상기 기판 상에 배치된 상기 처리된 유전체 배리어 층을 에칭하기 위해 상기 에칭 처리 챔버 내로 공급된 에칭 가스 혼합물에서 플라즈마를 원격으로 생성하는 단계;
    상기 기판으로부터 상기 유전체 배리어 층을 제거하기 위해 상기 유전체 배리어 층을 플라즈마 어닐링하는 단계; 및
    상기 유전체 배리어 층이 상기 기판으로부터 제거된 이후에 계면 보호 층(interface protection layer)을 형성하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    상기 에칭 가스 혼합물에서 플라즈마를 원격으로 생성하는 단계는,
    상기 에칭 가스 혼합물에서 암모늄 가스와 3불화 질소를 약 5:1 내지 약 30:1의 몰 비로 공급하는 단계를 더 포함하는, 방법.
  3. 제1항에 있어서,
    상기 에칭 가스 혼합물에서 플라즈마를 원격으로 생성하는 단계는,
    기판 온도를 약 섭씨 100도 미만으로 유지하는 단계를 더 포함하는, 방법.
  4. 제1항에 있어서,
    상기 유전체 배리어 층을 플라즈마 어닐링하는 단계는,
    상기 기판으로부터 에칭 부산물을 승화시키는 단계를 더 포함하는, 방법.
  5. 제1항에 있어서,
    상기 유전체 배리어 층은 실리콘 탄화물 층인, 방법.
  6. 제1항에 있어서,
    상기 에칭 가스 혼합물에서 플라즈마를 원격으로 생성하는 단계는,
    상기 에칭 가스 혼합물로부터 플라즈마를 원격으로 생성하기 위해 RF 소스 전력을 인가하는 단계를 더 포함하는, 방법.
  7. 제6항에 있어서,
    상기 RF 소스 전력은 약 80KHz의 주파수를 갖는, 방법.
  8. 제1항에 있어서,
    상기 계면 보호 층을 형성하는 단계는,
    적어도 하나의 캐리어 가스를 동반하는 폴리머 가스를 상기 에칭 처리 챔버 내로 공급하는 단계를 더 포함하는, 방법.
  9. 제8항에 있어서,
    상기 캐리어 가스는 아르곤 가스(Ar), 헬륨 가스(He), 산화 질소(NO), 일산화 탄소(CO), 아산화 질소(N2O), 산소 가스(O2), 또는 질소 가스(N2) 중 적어도 하나인, 방법.
  10. 제8항에 있어서,
    상기 폴리머 가스는 플루오로알킬 폴리옥시에틸렌(fluoroalkyl polyoxyethylene), 폴리디메틸시옥산(polydimethylsioxane), 트리메틸실란(trimethylsilane), 테트라메틸실란(tetramethylsilane), 옥타메틸시클로테트라실란(octamethylcyclotetrasilane)(OMCTS), 또는 헥사메틸디실란(hexamethyldisiliane)(HMDS) 중 적어도 하나인, 방법.
  11. 제1항에 있어서,
    상기 계면 보호 층은 실리콘 산화물 층인, 방법.
  12. 제1항에 있어서,
    상기 기판으로부터 상기 유전체 배리어 층을 제거하기 위해 상기 유전체 배리어 층을 플라즈마 어닐링하는 단계는,
    상기 유전체 배리어 층이 제거된 이후에 상기 기판에 배치된 전도성 층을 노출시키는 단계를 더 포함하는, 방법.
  13. 제1항에 있어서,
    상기 유전체 배리어 층을 플라즈마 어닐링하는 단계는,
    상기 기판을 플라즈마 어닐링하기 위한 플라즈마를 생성하기 위해 300와트 미만의 RF 바이어스 전력을 인가하는 단계를 더 포함하는, 방법.
  14. 제1항에 있어서,
    상기 유전체 배리어 층을 플라즈마 어닐링하는 단계는,
    기판 온도를 약 섭씨 20도 내지 약 섭씨 150도로 유지하는 단계를 더 포함하는, 방법.
  15. 기판 상에 배치된 유전체 배리어 층을 에칭하기 위한 방법으로서,
    기판 상의 듀얼 다마신 구조체에 배치된 유전체 배리어 층을 갖는 기판을 에칭 처리 챔버 내로 이송하는 단계;
    상기 유전체 배리어 층을 처리하기 위해 상기 에칭 처리 챔버 내의 처리 가스 혼합물에 제1의 낮은 RF 바이어스 전력을 인가하는 단계;
    상기 에칭 처리 챔버로부터 원격으로 에칭 가스 혼합물에 소스 RF 전력을 인가하는 단계 - 상기 에칭 가스 혼합물은 암모늄 가스 및 3불화 질소를 포함함 - ;
    상기 기판으로부터 상기 유전체 배리어 층을 제거하도록 상기 에칭된 유전체 배리어 층을 어닐링하기 위해 상기 에칭 처리 챔버 내의 어닐링 가스 혼합물에 제2의 낮은 RF 바이어스 전력을 인가하는 단계; 및
    상기 유전체 배리어 층이 상기 기판으로부터 제거된 이후에 계면 보호 층을 형성하는 단계
    를 포함하는 방법.
KR1020167009488A 2013-09-17 2014-07-28 다음 처리 단계 이전에 대기 시간 문제를 최소화하기 위해 에칭 사후의 계면을 안정화하기 위한 방법들 KR20160055227A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/029,771 2013-09-17
US14/029,771 US20150079799A1 (en) 2013-09-17 2013-09-17 Method for stabilizing an interface post etch to minimize queue time issues before next processing step
PCT/US2014/048491 WO2015041746A1 (en) 2013-09-17 2014-07-28 Methods for stabilizing an interface post etch to minimize queue time issues before next processing step

Publications (1)

Publication Number Publication Date
KR20160055227A true KR20160055227A (ko) 2016-05-17

Family

ID=52668322

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167009488A KR20160055227A (ko) 2013-09-17 2014-07-28 다음 처리 단계 이전에 대기 시간 문제를 최소화하기 위해 에칭 사후의 계면을 안정화하기 위한 방법들

Country Status (6)

Country Link
US (1) US20150079799A1 (ko)
JP (1) JP6469705B2 (ko)
KR (1) KR20160055227A (ko)
CN (1) CN105745740B (ko)
TW (1) TWI640040B (ko)
WO (1) WO2015041746A1 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170004975A1 (en) * 2013-12-27 2017-01-05 Intel Corporation Technologies for selectively etching oxide and nitride materials and products formed using the same
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US10373850B2 (en) * 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10276469B2 (en) * 2015-04-17 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor device structure
JP2019504507A (ja) * 2016-02-05 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数種類のチャンバを有する集積型の層エッチングシステム
CN106206422B (zh) * 2016-08-19 2019-05-31 上海华力微电子有限公司 降低金属硬质掩模大马士革一体化刻蚀缺陷生长的方法
WO2019222320A1 (en) * 2018-05-16 2019-11-21 Applied Materials, Inc. Atomic layer self aligned substrate processing and integrated toolset
KR102554014B1 (ko) * 2018-06-15 2023-07-11 삼성전자주식회사 저온 식각 방법 및 플라즈마 식각 장치
US10950460B2 (en) 2018-08-08 2021-03-16 Tokyo Electron Limited Method utilizing using post etch pattern encapsulation
CN109559988A (zh) * 2018-11-30 2019-04-02 德淮半导体有限公司 硅片的制备方法及装置
KR20210035449A (ko) * 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US20230035288A1 (en) * 2021-07-31 2023-02-02 Applied Materials, Inc. Methods for removing etch stop layers

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5626775A (en) * 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
US6613682B1 (en) * 1999-10-21 2003-09-02 Applied Materials Inc. Method for in situ removal of a dielectric antireflective coating during a gate etch process
JP2002110644A (ja) * 2000-09-28 2002-04-12 Nec Corp エッチング方法
US6673721B1 (en) * 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US7132369B2 (en) * 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
JP2004319917A (ja) * 2003-04-18 2004-11-11 Matsushita Electric Ind Co Ltd 絶縁膜除去方法および配線構造形成方法
JP3866694B2 (ja) * 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7521362B2 (en) * 2003-12-23 2009-04-21 Lam Research Corporation Methods for the optimization of ion energy control in a plasma processing system
US8222155B2 (en) * 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US20060196527A1 (en) * 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
WO2007034391A2 (en) * 2005-09-23 2007-03-29 Nxp B.V. A method of fabricating a structure for a semiconductor device
US7396762B2 (en) * 2006-08-30 2008-07-08 International Business Machines Corporation Interconnect structures with linear repair layers and methods for forming such interconnection structures
DE102007046846A1 (de) * 2007-09-29 2009-04-09 Advanced Micro Devices, Inc., Sunnyvale Seitenwandschutzschicht
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110253670A1 (en) * 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
US8771536B2 (en) * 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films

Also Published As

Publication number Publication date
JP2016530729A (ja) 2016-09-29
TWI640040B (zh) 2018-11-01
CN105745740A (zh) 2016-07-06
US20150079799A1 (en) 2015-03-19
CN105745740B (zh) 2019-11-26
WO2015041746A1 (en) 2015-03-26
JP6469705B2 (ja) 2019-02-13
TW201515103A (zh) 2015-04-16

Similar Documents

Publication Publication Date Title
JP6469705B2 (ja) エッチング後のインターフェースを安定化し、次の処理ステップ前のキュータイム問題を最小化する方法
TWI654683B (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
KR102501364B1 (ko) 자기정렬된 콘택트 스킴을 위한 희생적 pmd
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
KR102588544B1 (ko) 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버
KR102488490B1 (ko) 가스 분배 플레이트 열을 이용한 온도 램핑
TW201519299A (zh) 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法
JP7176106B2 (ja) 誘電体材料の堆積方法
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
JP7374308B2 (ja) 誘電体材料を堆積する方法及び装置
KR20140100948A (ko) 층간 폴리실리콘 유전체 캡 및 그것을 형성하는 방법
TWI774754B (zh) 自對準觸點與閘極處理流程
TWI837174B (zh) 沉積介電材料之方法
WO2023027827A1 (en) Fully self aligned via integration processes
CN117203740A (zh) 具有平坦顶部轮廓的间隔物图案化工艺

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right