TW201519299A - 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法 - Google Patents

利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法 Download PDF

Info

Publication number
TW201519299A
TW201519299A TW103128479A TW103128479A TW201519299A TW 201519299 A TW201519299 A TW 201519299A TW 103128479 A TW103128479 A TW 103128479A TW 103128479 A TW103128479 A TW 103128479A TW 201519299 A TW201519299 A TW 201519299A
Authority
TW
Taiwan
Prior art keywords
layer
substrate
gas
gas mixture
processing chamber
Prior art date
Application number
TW103128479A
Other languages
English (en)
Other versions
TWI605503B (zh
Inventor
Mang-Mang Ling
Jungmin Ko
Sean Kang
Jeremiah T Pender
Srinivas D Nemani
Bradley J Howard
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201519299A publication Critical patent/TW201519299A/zh
Application granted granted Critical
Publication of TWI605503B publication Critical patent/TWI605503B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)

Abstract

本發明提供用於使用主要蝕刻步驟及循環蝕刻製程之組合來蝕刻安置於基板上之材料層的方法。該方法包括:在處理腔室中對氧化物層執行主要蝕刻製程;在該氧化物層中形成具有第一預定深度之特徵;藉由將處理氣體混合物供應至該處理腔室中以處理該氧化物層中之該蝕刻的特徵來對該基板執行處理製程;藉由將化學蝕刻氣體混合物供應至該處理腔室中來對該基板執行化學蝕刻製程,其中該化學蝕刻氣體包括至少氨氣及三氟化氮,其中該化學蝕刻製程進一步將該特徵蝕刻至第二預定深度;以及藉由將過渡氣體混合物供應至該處理腔室中來對該蝕刻的基板執行過渡製程。

Description

利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法
本發明之實施例大體而言係關於用於形成半導體元件之方法。更具體而言,本發明之實施例大體係關於用於使用主要蝕刻及循環蝕刻製程之組合來蝕刻安置於基板上之材料層以製造半導體元件的方法。
可靠地生產次半微米及較小特徵為用於半導體元件之下一代超大型積體法(very large scale integration;VLSI)及極大型積體法(ultra large-scale integration;ULSI)的關鍵技術挑戰之一。然而,隨著向前推動電路技術之極限,VLSI及ULSI互連技術之縮小的尺寸對處理能力具有額外需求。柵極結構在基板上之可靠形成對於VLSI及ULSI成功且對於增加電路密度及單獨基板及晶粒之品質的繼續努力很重要。
圖案化光罩(諸如光阻劑層)常見使用於藉由蝕刻製程在基板上形成結構,該等結構諸如柵極結構、淺溝槽隔 離(shallow trench isolation;STI)、位元線等。習知藉由使用微影製程來將具有所要的臨界尺寸之圖案以光學方式轉印至光阻劑之層來製造圖案化光罩。光阻劑層然後經顯影以移除光阻劑之非所要部分,藉此在剩餘光阻劑中產生開口。
為了賦能下一代元件及結構之製造,經設計成形成用於半導體元件之結構之幾何形狀的限制已被推動臨近技術限制,對用於具有高深寬比之小臨界尺寸結構之製造的精確製程控制之需要已變得日益重要。蝕刻製程期間的不良製程控制將導致不規則的結構輪廓及線邊緣粗糙度,進而導致所形成結構之不良線完整性。另外,不規劃的輪廓及在蝕刻期間形成之蝕刻副產物之生長可逐漸阻隔用來製造小臨界尺寸結構之小開口,進而導致蝕刻的結構之弓形、扭曲、傾倒或扭絞輪廓。
此外,選擇來用於硬光罩層及安置於膜堆疊中之相鄰層的材料,以及甚至基板上之下層材料之間的相似性亦可導致該硬光罩層、該等相鄰層與該基板之間的類似蝕刻性質,進而在蝕刻期間導致不良選擇性。硬光罩層、相鄰層與基板上之材料之間的不良選擇性可導致硬光罩層之不均勻的錐形且變形的輪廓,進而導致不良圖案轉印及精確結構尺寸控制之失敗。要求在蝕刻製程中使用之化學蝕刻劑具有對於膜堆疊中之材料層的較大蝕刻選擇性。亦即,化學蝕刻劑以相較於安置於基板上之能量敏感的抗蝕劑或材料快得多的速率蝕刻膜堆疊之一或更多個層。對膜堆疊之一或更多個材料層優於抗蝕劑的蝕刻選擇性防止能量敏感的抗蝕劑在圖案轉 移之完成之前被消耗。因此,高度選擇性的蝕刻劑增強精確的圖案轉移。然而,習知蝕刻劑之選擇性並不足以賦能於下一代元件之強健製造。
因此,需要用於以高選擇性及精確的製程及輪廓控制蝕刻具有高深寬比之材料層來製造半導體元件之改良方法。
本發明提供用於使用主要蝕刻步驟及循環蝕刻製程之組合來蝕刻安置於基板上之材料層的方法。在一個實施例中,用於蝕刻安置於基板上之材料層之方法包括:在處理腔室中執行主要蝕刻製程以蝕刻由安置於基板上之圖案化光罩層暴露的氧化物層;在該氧化物層中形成具有第一預定深度之特徵;藉由將處理氣體混合物供應至該處理腔室中以處理該氧化物層中之該蝕刻的特徵來對該基板執行處理製程;藉由將化學蝕刻氣體混合物供應至該處理腔室中來對該基板執行化學蝕刻製程,其中該化學蝕刻氣體包括至少氨氣及三氟化氮,其中該化學蝕刻製程進一步將該特徵蝕刻至第二預定深度;以及藉由將過渡氣體混合物供應至該處理腔室中來對該蝕刻的基板執行過渡製程。
在另一實施例中,用於在材料層中蝕刻特徵之方法包括:將基板轉移至處理腔室中,該基板具有安置於該基板上之材料層,其中該材料層具有安置於該材料層上暴露該材料層之一部分的圖案化光罩層;將蝕刻氣體混合物供應至該處理腔室中,以蝕刻由該圖案化光罩層暴露的該材料層之該 部分以在該材料層中形成特徵,直至在該材料層中形成該特徵之第一預定深度為止,其中該蝕刻氣體混合物包括鹵化碳氣體;供應處理氣體混合物以處理形成於該材料層中之該特徵,其中該處理氣體混合物包括惰性氣體;以及將化學蝕刻氣體混合物供應至該處理腔室中,其中該化學蝕刻氣體混合物包括至少氨氣及三氟化氮;以及將過渡氣體混合物供應至該處理腔室,其中該過渡氣體混合物包括惰性氣體。
在又一實施例中,用於在材料層中蝕刻特徵之方法包括:將基板轉移至處理腔室中,該基板具有安置於該基板上之材料層,其中該材料層具有安置於該材料層上暴露該材料層之一部分的圖案化光罩層;將C4F8或C4F6氣體供應至該處理腔室中,以蝕刻由該圖案化光罩層暴露的該材料層之該部分以在該材料層中形成特徵,直至在該材料層中形成該特徵之第一預定深度為止,其中該特徵之該第一預定深度在該材料層之總厚度之約15%與約25%之間;供應Ar氣或He氣,同時施加第一RF偏壓功率,以處理形成於該材料層中之該特徵;以及供應包括至少氨氣及三氟化氮之化學蝕刻氣體混合物,同時施加第一RF電源,以蝕刻該已處理特徵;以及在不施加RF功率的情況下將Ar氣或He氣供應至該處理腔室。
100‧‧‧處理腔室
112‧‧‧腔室主體
114‧‧‧狹縫閥開口
115‧‧‧溝道
120‧‧‧襯裡
125‧‧‧孔
129‧‧‧泵送溝道
130‧‧‧真空泵
131‧‧‧真空埠
132‧‧‧節流閥
140‧‧‧蓋總成
143‧‧‧第一電極
145‧‧‧第二電極
150‧‧‧電漿容積或空腔
152‧‧‧電源
154‧‧‧氣體入口
155‧‧‧膨脹區段
155A‧‧‧上部分
155B‧‧‧下部分
156‧‧‧上區段
157‧‧‧內表面
160‧‧‧絕緣體環
165‧‧‧氣體通道或孔
170‧‧‧分配板
172‧‧‧孔
174‧‧‧嵌入式溝道或通道
175‧‧‧阻隔板
176‧‧‧孔
178‧‧‧蓋輪緣
179‧‧‧嵌入式溝道或通道
180‧‧‧支撐總成
181‧‧‧電極
183‧‧‧升舉機構
184‧‧‧RF功率偏壓源
185‧‧‧支撐構件
186‧‧‧RF功率偏壓源
187‧‧‧軸
188‧‧‧波紋管
189‧‧‧匹配電路
190‧‧‧可移除頂板
192‧‧‧鏜孔
193‧‧‧升舉銷
195‧‧‧環形升舉環
196‧‧‧邊緣環
197‧‧‧淨化氣體導管
198‧‧‧流體溝道
199‧‧‧傳熱導管
200‧‧‧多腔室處理系統
202‧‧‧裝料鎖定腔室
204‧‧‧裝料鎖定腔室
210‧‧‧第一機器人
212‧‧‧基板處理腔室
214‧‧‧基板處理腔室
216‧‧‧基板處理腔室
222‧‧‧轉移腔室
224‧‧‧轉移腔室
230‧‧‧第二機器人
232‧‧‧處理腔室
234‧‧‧處理腔室
236‧‧‧處理腔室
238‧‧‧處理腔室
300‧‧‧方法
302‧‧‧方塊
304‧‧‧方塊
306‧‧‧方塊
308‧‧‧方塊
310‧‧‧方塊
312‧‧‧迴路
402‧‧‧基板
404‧‧‧下層蝕刻終止層
406‧‧‧材料層
408‧‧‧硬光罩層
410‧‧‧光阻劑層
411‧‧‧圖案化光罩層
412‧‧‧敞開特徵
413‧‧‧部分
414‧‧‧敞開特徵
415‧‧‧上表面
416‧‧‧側壁/材料層
418‧‧‧預定深度
420‧‧‧表面
422‧‧‧所要的厚度
426‧‧‧已處理材料層
428‧‧‧已處理上表面
432‧‧‧厚度
436‧‧‧表面
438‧‧‧所要的目標深度
450‧‧‧膜堆疊
因此,為可詳細理解本發明之上述特徵,可參考實施例獲得以上簡要概述之本發明之更特定描述,其中一些實施例圖示於隨附圖式中。然而,應注意,隨附圖式僅圖示本發明之典型實施例,且因此不應將隨附圖式視為對本發明範 疇之限制,因為本發明可允許其它同等有效的實施例。
第1圖為其中可實踐本發明之實施例的說明性處理腔室的橫截面圖
第2圖為說明性多腔室處理系統的示意性俯視圖。
第3圖描繪根據本發明之一個實施例的用於使用循環蝕刻製程蝕刻材料層的流程圖;以及第4A圖至第4E圖描繪根據本發明之一個實施例的安置於半導體基板上之材料層在材料層之蝕刻期間的橫截面圖。
為促進理解,在可能的情況下已使用相同元件符號來表示諸圖所共用之相同元件。設想可將一個實施例之元素及特徵有利地併入其他實施例中,無需額外敘述。
然而,應注意,隨附圖式僅例示出本發明之示例性實施例,且因此不應將隨附圖式視為對本發明範疇之限制,因為本發明可允許其它同等有效的實施例。
本發明之實施例提供用於以高選擇性及精確輪廓控制針對形成於用於半導體元件之基板上的高深寬比特徵來蝕刻材料層之方法。在一個實施例中,蝕刻製程包括使用主要蝕刻製程之第一步驟以及緩慢的循環蝕刻製程之第二步驟來逐漸蝕刻材料層,直至暴露下層基板為止。緩慢的循環蝕刻製程可有助於控制蝕刻選擇性且在界面處提供相對精確的蝕刻終止終點,以便為形成於材料層中之特徵提供良好的輪廓控制。在一個實施例中,蝕刻製程可利用來在接觸介電層中 形成特徵、溝槽或通孔以用於半導體元件之接觸結構。
如本文中所使用之術語「接觸結構」代表包括金屬矽化物之材料層,該金屬矽化物可形成閘極之部分。在一或更多個實施例中,金屬矽化物可為矽化鎳、矽化鈷、矽化鈦或上述各者之任何組合。金屬矽化物亦可包括鎢、矽化鎢、Ti/Co合金矽化物、Ti/Ni合金矽化物、Co/Ni合金矽化物及Ni/Pt矽化物。接觸結構或者可為基於矽的、基於鍺的或基於具有鍺摻雜劑及/或其他摻雜劑之矽的。
第1圖為適合於執行如以下進一步描述之蝕刻製程之說明性處理腔室100的橫截面圖。腔室100可經設置自安置於基板表面上之材料層移除材料。腔室100尤其可用於執行電漿輔助乾式蝕刻製程。處理腔室100可為可得自加利福尼亞聖克拉拉(Santa Clara,California)之應用材料公司的SiconiTM腔室。請注意,可得自其他製造商之其他真空處理腔室亦可用來實踐本發明。
處理腔室100在不破壞真空的情況下提供基板表面之加熱及冷卻兩者。在一個實施例中,處理腔室100包括腔室主體112、蓋總成140及支撐總成180。蓋總成140安置在腔室主體112之上端處,且支撐總成180至少部分安置在腔室主體112內。
腔室主體112包括狹縫閥開口114,該狹縫閥開口形成於該腔室主體之側壁中,以提供通向處理腔室100之內部的出入口。狹縫閥開口114經選擇性地打開及關閉以允許由晶圓搬運機器人(未示出)進出腔室主體112之內部。
在一或更多個實施例中,腔室主體112包括形成於其中之溝道115,以用於使傳熱流體流過該溝道。傳熱流體可為加熱流體或冷卻劑,且用來在處理及基板轉移期間控制腔室主體112之溫度。腔室主體112之溫度控制對於防止氣體或副產物在腔室壁上之不當冷凝很重要。示例性傳熱流體包括水、乙二醇或上述各者之混合物。示例性傳熱流體可亦包括氮氣。
腔室主體112可進一步包括襯裡120,該襯裡圍繞支撐總成180。襯裡120為可移除的,以用於維護及清潔。襯裡120可由諸如鋁之金屬、陶瓷材料或任何其他製程相容的材料製作。襯裡120可經珠粒噴擊以增加表面糙度及/或表面面積,該表面糙度及/或表面面積增加沉積於該襯裡上之任何材料之黏附,藉此防止導致處理腔室100之污染物的材料之剝落。在一或更多個實施例中,襯裡120包括一或更多個孔125及形成於該襯裡中之泵送溝道129,該泵送溝道與真空系統形成流體連通。孔125提供用於氣體進入泵送溝道129中之流動路徑,該泵送溝道提供用於處理腔室100內之氣體的出口。
真空系統可包括真空泵130及節流閥132以調節氣體穿過處理腔室100之流動。真空泵130耦接至安置於腔室主體112中之真空埠131,且因此與形成於襯裡120內之泵送溝道129形成流體連通。術語「氣體」及「多種氣體」可互換地使用,除非另有說明,且代表一或更多種前驅物、反應物、催化劑、載體、淨化、清潔、上述各者之組合,以及引 入腔室主體112中之任何其他流體。
蓋總成140包括至少兩個堆疊之組件,該至少兩個堆疊之組件經設置來在該等組件之間形成電漿容積或空腔。在一或更多個實施例中,蓋總成140包括第一電極143(「上電極」),該第一電極安置在第二電極145(「下電極」)的垂直上方,從而在該第一電極與該第二電極之間限定電漿容積或空腔150。第一電極143連接至電源152,諸如射頻(radio frequency;RF)電源,且第二電極145連接至接地,從而在兩個電極143、145之間形成電容。
在一或更多個實施例中,蓋總成140包括一或更多個氣體入口154(僅示出一個),該一或更多個氣體入口至少部分形成於第一電極143之上區段156中。一或更多種製程氣體經由一或更多個氣體入口154進入蓋總成140。一或更多個氣體入口154在入口154第一端處與電漿空腔150形成流體連通,且在入口154第二端處耦接至一或更多個上游氣體源及/或其他氣體遞送組件,諸如氣體混合器。
在一或更多個實施例中,第一電極143具有膨脹區段155,該膨脹區段容納電漿空腔150。在一或更多個實施例中,膨脹區段155為環形構件,該環形構件具有自該環形構件上部分155A至該環形構件下部分155B逐漸增大之內表面或直徑157。如此,第一電極143與第二電極145之間的距離為可變的。彼變化的距離有助於控制在電漿空腔150內產生之電漿之形成及穩定性。
在一或更多個實施例中,膨脹區段155類似於倒置 截圓錐或「漏斗」。在一或更多個實施例中,膨脹區段155之內表面157自膨脹區段155之上部分155A至下部分155B逐漸傾斜。內徑157之斜率或角度可取決於製程要求及/或製程限制而變化。膨脹區段155之長度或高度亦可取決於特定製程要求及/或限制而變化。
如以上所提及,第一電極143之膨脹區段155由於第一電極143之逐漸增大的內表面157而改變第一電極143與第二電極145之間的垂直距離。彼可變距離直接與電漿空腔150內之功率位準有關。不希望受理論限制,兩個電極143、145之間的距離之變化允許電漿找到在電漿空腔150之一些部分(若非遍及整個電漿空腔150)內維持電漿自身所必要的功率位準。因此,電漿空腔150內之電漿較少地取決於壓力,從而允許電漿在較寬操作窗內產生且維持。如此,可在蓋總成140內形成更可重複且可靠的電漿。因為產生於電漿空腔150中之電漿在進入基板所在的支撐總成180上方之處理區中之前經界定於蓋總成140中,所以電漿被視為遠離處理區而產生的遠端電漿源。
膨脹區段155與如以上所述氣體入口154形成流體連通。一或更多個氣體入口154之第一端可在膨脹區段155之內徑之最上點處通向電漿空腔150中。類似地,一或更多個氣體入口154之第一端可在沿膨脹區段155之內徑157的任何高度間隔處通向電漿空腔150中。儘管未示出,但是可將兩個氣體入口154安置於膨脹區段155之相對側處,以產生進入膨脹區段155中之渦旋流動模式或「渦流」流動,該 渦旋流動模式或「渦流」流動有助於混合電漿空腔150內之氣體。
蓋總成140可進一步包括絕緣體環160,該絕緣體環將第一電極143與第二電極145電氣絕緣。絕緣體環160可由氧化鋁或任何其他絕緣的製程相容材料製作。絕緣體環160圍繞或大體上圍繞至少膨脹區段155。
蓋總成140可進一步包括分配板170及與第二電極145相鄰之阻隔板175。第二電極145、分配板170及阻隔板175可經堆疊且安置於蓋輪緣178上,該蓋輪緣連接至腔室主體112。鉸鏈總成(未示出)可用來將蓋輪緣178耦接至腔室主體112。蓋輪緣178可包括用於容納傳熱媒體之嵌入式溝道或通道179。傳熱媒體可取決於製程要求而用於加熱、冷卻或兩者。
在一或更多個實施例中,第二電極或頂板145可包括複數個氣體通道或孔165,該複數個氣體通道或孔形成於電漿空腔150下方,以允許來自電漿空腔150之氣體流動穿過該複數個氣體通道或孔。分配板170為大體上圓碟形的,且亦包括複數個孔172或流道,以分配氣體流穿過該複數個孔或流道。孔172可經定大小且定位於分配板170周圍,以將受控且均勻的流動分配提供至待處理之基板所在的腔室主體112。此外,孔172藉由減緩或重新導引流動氣體之速度分佈,以及均勻地分配氣體之流動以提供氣體在基板表面上之均勻分配來防止氣體直接衝擊在基板表面上。
在一或更多個實施例中,分配板170包括用於容納 加熱器或加熱流體之一或更多個嵌入式溝道或通道174,以提供蓋總成140之溫度控制。可將電阻加熱元件(未示出)插入通道174內以加熱分配板170。可將熱電耦連接至分配板170以調節該分配板之溫度。熱電耦可用於反饋迴路中以控制施加至如以上所述加熱元件之電流。
或者,可使傳熱媒體通過通道174。一或更多個通道174可含有冷卻媒體(若需要),以取決於腔室主體112內之製程要求而較好地控制分配板170之溫度。可使用任何適合的傳熱媒體,諸如例如氮氣、水、乙二醇或上述各者之混合物。
在一或更多個實施例中,可使用一或更多個熱燈(未示出)加熱蓋總成140。通常,熱燈佈置在分配板170之上表面周圍,以藉由輻射加熱包括分配板170的蓋總成140之組件。
阻隔板175在存在時可視情況安置在第二電極145與分配板170之間。阻隔板175係可移除地安裝至第二電極145之下表面。阻隔板175可處於與第二電極145的良好熱接觸及電氣接觸中。在一或更多個實施例中,可使用螺釘或類似緊固件將阻隔板175耦接至第二電極145。阻隔板175亦可經螺紋旋擰(threaded)或鎖固至第二電極145之外徑上。
阻隔板175包括複數個孔176以提供自第二電極145至分配板170的複數個氣體通道。孔176可經定大小且定位於阻隔板175周圍,以將氣體之受控且均勻的流動分配提供至分配板170。
支撐總成180可包括支撐構件185來支撐基板(此視圖中未示出),以用於在腔室主體112內處理。支撐構件185可經由軸187耦接至升舉機構183,該軸延伸穿過形成於腔室主體112之底表面中之位於中心的開口114。升舉機構183可由波紋管188可撓地密封至腔室主體112,該波紋管防止軸187周圍的真空洩漏。升舉機構183允許支撐構件185在腔室主體112內於製程位置與下轉移位置之間垂直移動。轉移位置稍微低於形成於腔室主體112之側壁中的狹縫閥開口114。
在一或更多個實施例中,支撐構件185具有平坦的圓形表面或大體上平坦的圓形表面,以用於支撐將要在該支撐構件上處理之基板。支撐構件185可由鋁構造。支撐構件185可包括由諸如矽或陶瓷材料之一些其他材料製作的可移除頂板190,例如以減少基板之背側污染。
在一或更多個實施例中,基板(未示出)可使用真空夾盤緊固至支撐構件185。在一或更多個實施例中,基板(未示出)可使用靜電夾盤緊固至支撐構件185。靜電夾盤通常包括圍繞電極181之至少一介電材料,該介電材料可位於支撐構件185上或形成為支撐構件185之整體部分。夾盤之介電質部分使夾盤電極與基板且與支撐總成180之剩餘部分電氣絕緣。
在一個實施例中,電極181耦接至複數個RF功率偏壓源184、186。RF偏壓電源184、186耦接於安置在支撐構件185中之電極181之間。RF偏壓功率激勵且維持由安置 在腔室主體之處理區中之氣體形成的電漿放電。
在第1圖中描繪之實施例中,雙RF偏壓電源184、186經由匹配電路189耦接至安置於支撐構件185中之電極181。由RF偏壓功率184、186產生之訊號經由單個饋入穿過匹配電路189經遞送至支撐構件185,以電離提供於電漿處理腔室100中之氣體混合物,藉此提供執行沉積或其他電漿增強型製程所必需的離子能量。RF偏壓電源184、186大體能夠產生具有自約50kHz至約200MHz之頻率及介於約0瓦特與約5000瓦特之間的功率之RF訊號。必要時,額外偏壓電源可耦接至電極181以控制電漿之特性。
支撐構件185可包括形成為穿過該支撐構件之鏜孔192,以容納升舉銷193,第1圖中圖示該等升舉銷之一。每一升舉銷193皆由陶瓷材料或含陶瓷材料構造,且用於基板搬運及運輸。升舉銷193在嚙合安置在腔室主體112內之環形升舉環195時為在該升舉銷之個別鏜孔192內可移動的。升舉環195為可移動的,使得當升舉環195處於上位置中時,升舉銷193之上表面可延伸超過支撐構件185之基板支撐表面。相反地,當升舉環195處於下位置中時,升舉銷193之上表面位於支撐構件185之基板支撐表面以下。因此,當升舉環195自任一下位置移動至上位置時,使每一升舉銷193在支撐構件185中的該升舉銷之個別鏜孔192中移動。
支撐總成180可進一步包括邊緣環196,該邊緣環安置在支撐構件185周圍。在一或更多個實施例中,邊緣環196為適於覆蓋支撐構件185之外周邊且保護支撐構件185 免於沉積的環形構件。邊緣環196可定位於支撐構件185上或定位成與支撐構件185相鄰,以在支撐構件185之外徑與邊緣環196之內徑之間形成環形淨化氣體溝道。環形淨化氣體溝道可與穿過支撐構件185及軸187形成之淨化氣體導管197形成流體連通。淨化氣體導管197與淨化氣體供應器(未示出)形成流體連通,以提供淨化氣體至淨化氣體溝道。可單獨或以組合方式使用諸如氮氣、氬氣或氦氣之任何適合的淨化氣體。在操作中,淨化氣體流過導管197,流動至淨化氣體溝道中,且在安置於支撐構件185上之基板之邊緣周圍流動。因此,淨化氣體與邊緣環196合作防止基板之邊緣及/或背面處之沉積。
支撐總成180之溫度可由穿過嵌入支撐構件185之主體中的流體溝道198循環之流體控制。在一或更多個實施例中,流體溝道198與傳熱導管199形成流體連通,該傳熱導管穿過支撐總成180之軸187而安置。流體溝道198安置於支撐構件185周圍,以提供一致的傳熱至支撐構件185之基板接收表面。流體溝道198及傳熱導管199可使傳熱流體流動以加熱或冷卻支撐構件185。可使用任何適合的傳熱流體,諸如水、氮氣、乙二醇或上述各者之混合物。支撐總成180可進一步包括用於監視支撐構件185之支撐表面之溫度的嵌入式熱電耦(未示出)。例如,可將來自熱電耦之訊號使用於回饋迴路中來控制穿過流體溝道198循環的流體之溫度或流動速率。
可在腔室主體112內垂直地移動支撐構件185,以 使可控制支撐構件185與蓋總成140之間的距離。感測器(未示出)可提供關於支撐構件185在腔室100內之位置的資訊。
在操作中,支撐構件185可經提升至密切接近蓋總成140,以控制正在處理之基板之溫度。如此,可經由自分配板170發射之輻射加熱基板。或者,可使用由升舉環195致動之升舉銷193來升舉基板離開支撐構件185達到密切接近熱蓋總成140。
系統控制器(未示出)可用來調節處理腔室100之操作。系統控制器可在儲存於電腦之記憶體上的電腦程式之控制下操作。電腦程式可包括使得可於處理腔室100中執行以下所述預清潔製程的指令。例如,電腦程式可決定製程序列及時序、氣體混合、腔室壓力、RF功率位準、晶座定位、狹縫閥打開及關閉、晶圓冷卻及特定製程之其他參數。
第2圖為可適於執行如本文所揭示之製程的說明性多腔室處理系統200的示意性俯視圖,該說明性多腔室處理系統耦接有處理腔室100。系統200可包括用於將基板轉移至系統200中且自系統200轉移出之一或更多個裝料鎖定腔室202、204。通常,因為系統200處於真空下,所以裝料鎖定腔室202、204可「向下泵送」引入至系統200中之基板。第一機器人210可在裝料鎖定腔室202、204與第一組一或更多個基板處理腔室212、214、216、100(展示出四個)之間轉移基板。每一處理腔室212、214、216、100皆經設置來執行基板處理操作中至少一個,該等基板處理操作諸如蝕刻製程、環狀層沉積(cyclical layer deposition;CLD)、原子層沉積 (atomic layer deposition;ALD)、化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)、除氣、定向及其他基板製程。利用來執行蝕刻製程之處理腔室100相對於其他腔室212、214、216之位置係用於說明,且必要時,處理腔室100之位置可視情況與處理腔室212、214、216中任一個交換。
第一機器人210亦可將基板轉移至一或更多個轉移腔室222、224/自一或更多個轉移腔室222、224轉移基板。轉移腔室222、224可用來維持超高真空條件,同時允許基板在系統200內轉移。第二機器人230可在轉移腔室222、224與第二組一或更多個處理腔室232、234、236、238之間轉移基板。類似於處理腔室212、214、216、100,處理腔室232、234、236、238可經配備來執行各種基板處理操作,該等基板處理操作包括本文所述之乾式蝕刻製程、任何其他適合的製程,包括例如沉積、預清潔、除氣及定向。基板處理腔室212、214、216、100、232、234、236、238中任一個若對於將要由系統200執行之特定製程並非必要,則可自系統200移除。
第3圖圖示用來以高選擇性及良好輪廓控制執行蝕刻製程以蝕刻安置在基板上之材料層的方法300。第3圖中所述之序列對應於以下論述之第4A圖至第4E圖中描述之各製造階段。第4A圖至第4E圖圖示具有膜堆疊450之基板402的示意性橫截面圖,該膜堆疊係在由方法300例示出的蝕刻安置於膜堆疊450中之材料層406之不同級段期間形成於該基板上。
方法300在方塊302處開始:將諸如第4A圖中描繪之基板402的基板轉移至諸如第1圖中描繪之處理腔室100的處理腔室或其他適合的處理腔室中。基板402可具有大體上平坦的表面、不均勻表面或上面形成有一結構的大體上平坦的表面。第4A圖中所示之基板402包括形成於基板402上之膜堆疊450。在一個實施例中,基板402可為諸如以下各者之材料:晶態矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或無摻雜多晶矽、摻雜或無摻雜矽晶圓及圖案化或非圖案化絕緣體上晶圓矽(silicon on insulator;SOI)、碳摻雜二氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石。基板402可具有各種尺寸,諸如200mm、300mm或450mm直徑晶圓,以及矩形板或正方形板。除非另有說明,否則本文所述之實施例及實例實施於具有300mm直徑或450mm直徑之基板上。
在一個實施例中,膜堆疊450包括材料層406,該材料層安置於基板402上之蝕刻終止層404上。在一個實施例中,材料層406可用來在前端製程或後端製程中形成閘結構、淺溝槽隔離(STI)結構、接觸結構或互連結構。在一個實施例中,可對材料層406執行方法300以在該材料層中形成接觸結構。在一個實施例中,蝕刻終止層404可為矽介電層,諸如SiN層。材料層406可為選自由以下各者組成之群組的介電層:氧化物層、氮化物層、氮化鈦層、氧化物及氮化物之複合物層、夾有氮化物層之至少一或更多個氧化物層及上述各者之組合等等。用於介電層之其他適合的材料包括無摻 雜矽玻璃(undoped silicon glass;USG),諸如氧化矽或正矽酸乙酯(tetraethyl orthosilicate;TEOS)、硼矽玻璃(boron-silicate glass;BSG)、磷矽玻璃(phosphorus-silicate glass;PSG)、硼磷矽玻璃(boron-phosphorus-silicate glass;BPSG)及上述各者之組合。在本文描繪之一示例性實施例中,材料層406為無摻雜矽玻璃(USG)層。在一個實施例中,介電材料層406具有介於約3000Å至約15000Å之間的厚度,諸如介於約4000Å至約12000Å之間,例如約10000Å。
圖案化光罩層411安置於材料層406上。圖案化光罩層411具有敞開特徵412,該敞開特徵暴露材料層406之部分413以用於蝕刻。在一個實施例中,光罩層411可為硬光罩層、光阻劑光罩或硬光罩層及光阻劑光罩之組合。光罩層411中之敞開特徵412用作為蝕刻光罩來在材料層406中形成具有所要的深寬比之敞開特徵414。本文所述之敞開特徵414可包括溝槽、通孔、開口等。在光罩層411為硬光罩層之一個實施例中,光罩層411可為選自由以下各者組成之群組的材料:矽、氧化矽、氮化矽、氮氧化矽、碳化矽、非晶碳及上述各者之組合。在光罩層411為圖案化光阻劑層(諸如微影圖案化光罩)之實施例中。光阻劑層可為正調光阻劑(positive tone photoreist)、負調光阻劑(negative tone photoresist)、UV微影術光阻劑、i-line光阻劑、電子束抗蝕劑(例如,化學放大型抗蝕劑(chemically amplified resist;CAR))或其他適合的光阻劑。在第4A圖中描繪之一示例性實施例中,圖案化光罩層411為安置於硬光罩層408上之光 阻劑層410之組合,且硬光罩層408為氮化矽層。
在方塊304處,對基板402執行主要蝕刻製程以將材料層406蝕刻至預定深度418,從而在基板402上留下所要的厚度422之材料層406,以暴露材料層406之表面420之一部分,如第4B圖中所示。在一個實施例中,剩餘在基板402上之材料層406之所要的厚度422可介於材料層406之總厚度438之約15%與約25%之間。
在主要蝕刻製程期間,將蝕刻氣體混合物供應至真空處理腔室100中用於處理。在一個實施例中,蝕刻氣體混合物包括鹵化碳氣體或鹵化碳氫化合物氣體中之至少一種。鹵化碳氣體可包括但不限於氟碳化物、氫氟碳化物(hydrofluorocarbons)、氟氯碳化物(fluorochlorocarbons)及碳氫化合物。鹵化碳氣體及鹵化碳氫化合物氣體可具有化學式CxHyAz(A表示鹵素元素,包括F、Cl、Br等中之至少一種),其中x、y及z為整數,並且x具有介於1與10之間的範圍,且y具有介於0與22之間的範圍,且z具有介於0與22之間的範圍。鹵化碳氣體或鹵化碳氫化合物氣體之適合的實例包括CH2F2、CF4、CHF3、CF3(CF2)5(CH2)2SiCl3、CH3F、C4F8等。在一示例性實施例中,蝕刻氣體混合物包括鹵化碳氣體。在一個實施例中,用來蝕刻介電質材料層406之鹵化碳氣體為C4F8或C4F6。可將鹵化碳氣體或鹵化碳氫化合物氣體以介於約15sccm與約60sccm之間的體積流動速率供應至真空處理腔室100中。
在一個實施例中,亦可在蝕刻氣體混合物中供應諸 如惰性氣體或載氣之其他類型的氣體,以有助於將蝕刻氣體混合物載運至真空處理腔室100。惰性氣體或載氣之適合的實例包括Ar、He、N2、O2、N2O、NO2、NO等中之至少一種。在一個實施例中,可將惰性氣體或載氣以介於約500sccm與約1000sccm之間的體積流動速率供應至真空處理腔室100中。
在主要蝕刻氣體混合物中供應之鹵化碳氣體可亦充當聚合物源,以在基板表面上沉積聚合物層,以便保護光罩層411之上表面415及在蝕刻製程中蝕刻的特徵之側壁416。在蝕刻速率在基板表面上不均勻的實施例中,具有包括光阻劑層410及硬光罩層408(例如,氮化矽層)之複合膜結構的光罩層411可以不同的速率被消耗。在具有不均勻的蝕刻速率之主要蝕刻製程期間,光阻劑層410可已經被消耗,從而在一些區中暴露下層硬光罩層408,同時仍然附屬有一些光阻劑層410剩餘在基板上,藉此導致基板表面具有在蝕刻期間暴露的兩個類型之層(例如,在一些區中為光阻劑層410,而在基板之其他區中為硬光罩層408)。藉由使用在蝕刻氣體混合物中供應之鹵化碳氣體,鹵化碳氣體可不僅充當強蝕刻劑以蝕刻材料層406,而且亦充當聚合物源以在基板上形成聚合物保護層以用於保護。如此,可改良且增強材料層406與硬光罩層408之間的選擇性(例如,對氧化矽層與氮化矽層之選擇性)。
在將蝕刻氣體混合物供應至真空處理腔室100中時,亦可調整若干製程參數。在一個實施例中,調整在存在 蝕刻氣體混合物的情況下的腔室壓力。在一個示例性實施例中,將真空處理腔室100中之製程壓力調整為介於約5毫托至約1000毫托之間,例如介於約10毫托與約200毫托之間。可施加頻率為約60MHz之第一RF偏壓功率以維持由蝕刻氣體混合物形成之電漿。例如,可施加介於約0瓦特至約500瓦特之間的第一RF偏壓功率以維持真空處理腔室100內之電漿。亦可將介於約200瓦特至約800瓦特之間的第二RF偏壓功率施加至處理腔室100。可使蝕刻氣體混合物以介於約15sccm至約60sccm之間的速率流動至腔室中。將基板溫度維持在約25攝氏度至約300攝氏度之間,諸如在約50攝氏度與約110攝氏度之間。
在方塊304處執行之主要蝕刻製程經設置成與緩慢循環蝕刻製程相比相對快速的蝕刻製程,例如,大於每分鐘2000Å之蝕刻速率,以下將在方塊308中詳細地描述該緩慢循環蝕刻製程。藉由利用此快速主要蝕刻製程,整體蝕刻產量可維持在所要的範圍處,而不顯著地增加由隨後執行之緩慢循環蝕刻製程佔用的製造循環時間。
在方塊306處,執行處理製程以處理暴露表面420以及光罩層411之上表面415,以改變表面性質來促進材料層406在後續化學蝕刻製程中之移除。在方塊306處執行之處理製程包括將處理氣體混合物供應至腔室100中。然後由處理氣體混合物形成電漿以電漿處理基板402之表面420、415,來將材料層406活化成激發態,從而形成光罩層411之已處理材料層426及已處理上表面428,如第4C圖中所示,該已 處理材料層及該已處理上表面然後可容易地與隨後供應至處理腔室100中之化學蝕刻氣體反應,從而形成揮發性氣體副產物,該等揮發性氣體副產物容易抽出處理腔室100。
在一個實施例中,處理氣體混合物包括含氫氣體、含氮氣體或惰性氣體中之至少一種。鹹信,在處理氣體混合物中供應之含氫氣體、含氮氣體或惰性氣體可有助於增加由處理氣體混合物形成之電漿中的離子之壽命。離子之增加的壽命可有助於與基板402上之材料層426更徹底地反應及更徹底地活化該材料層,藉此增強活化的材料層426在後續化學蝕刻製程期間自基板402之移除。在含氫氣體利用於處理氣體混合物中之實施例中,來自含氫氣體之氫原子可與含於材料層406中之矽原子反應,藉此在已處理材料層426之已處理表面420上形成Si-H鍵或Si-OH鍵之弱懸鍵。具有Si-H或Si-OH鍵終端之已處理材料層426可容易地由隨後供應至處理腔室100之其他蝕刻劑吸收,藉此有助於已處理材料層426自基板表面之移除。
在一個實施例中,供應至處理腔室100中之含氫氣體包括H2、H2O等中之至少一種。供應至處理腔室100中之含氮氣體包括N2、N2O、NO2、NH3等中之至少一種。供應至處理腔室100中之惰性氣體包括Ar、He、Kr等中之至少一種。在一示例性實施例中,在處理腔室100中供應來執行處理製程之含氫氣體為H2氣體,且在處理腔室100中供應來執行處理製程之含氮氣體為N2氣體,且惰性氣體為He或Ar。
在電漿處理製程期間,可調整若干製程參數來控制 預處理製程。在一個示例性實施例中,將處理腔室100中之製程壓力調整為介於約10毫托至約5000毫托之間,諸如在約10毫托與約200毫托之間。可施加頻率為約13MHz之RF偏壓功率以維持處理氣體混合物中之電漿。例如,可施加約20瓦特至約200瓦特之RF偏壓功率以維持處理腔室100內之電漿。可使處理氣體混合物以介於約200sccm至約800sccm之間的速率流動至腔室中。將基板溫度維持在約25攝氏度至約300攝氏度之間,諸如在約50攝氏度與約110攝氏度之間。
在一個實施例中,取決於操作溫度、氣體之壓力及流動速率,使基板經受處理製程達約5秒至約5分鐘之間。例如,可使基板暴露於預處理製程達約30秒至約90秒。在一示例性實施例中,使基板暴露於處理製程達約90秒或更少。
在方塊306處,執行化學蝕刻製程以自基板402緩慢移除已處理材料層426,如第4D圖中所示。藉由經由電漿空腔150將化學蝕刻氣體混合物供應至處理腔室100中以在電漿空腔150中由化學蝕刻氣體混合物形成遠端電漿源,以用於蝕刻材料層426來執行化學蝕刻製程。當已處理材料層426已被處理成在表面上具有含Si-H鍵或Si-OH鍵終端之弱懸鍵時,來自化學蝕刻氣體混合物之強蝕刻劑可容易地攻擊含Si-H鍵或Si-OH鍵終端之弱懸鍵,且在化學蝕刻製程期間有效地自基板402移除已處理材料層426。
在一個實施例中,用來移除已處理材料層426之化學蝕刻氣體混合物為氨氣(NH3)及三氟化氮(NF3)氣體之混合 物。引入處理腔室中之每一種氣體之量可經改變且經調節來適應例如待移除之材料層416之厚度、正清潔之基板之幾何形狀、電漿之體積容量、腔室主體之體積容量以及耦接至腔室主體之真空系統之能力。
在一或更多個實施例中,添加來提供化學蝕刻氣體混合物之氣體具有氨氣(NH3)比三氟化氮(NF3)之至少1:1之莫耳比率。在一或更多個實施例中,化學蝕刻氣體混合物之莫耳比率為至少約3:1(氨氣比三氟化氮)。將氣體以自約5:1(氨氣比三氟化氮)至約30:1之莫耳比率引入腔室100中。在又一實施例中,化學蝕刻氣體混合物之莫耳比率為自約5:1(氨氣比三氟化氮)至約10:1。化學蝕刻氣體混合物之莫耳比率亦可落入約10:1(氨氣比三氟化氮)與約20:1之間。應注意,亦可調節氨氣(NH3)與三氟化氮(NF3)之間的配量以改良蝕刻選擇性,包括材料層426與硬光罩層408之間的選擇性(例如,氧化矽層與氮化矽層之選擇性)及材料層426與下層蝕刻終止層404之間的選擇性(例如,氧化矽層與氮化矽層之選擇性)。
因為剩餘在基板上之已處理材料層426具有相對薄的厚度432且電漿係在電漿空腔150中以遠端方式產生,所以自化學蝕刻氣體混合物解離之蝕刻劑,即所產生之遠端源電漿為相對適度且溫和的,以便緩慢地、溫和地且逐漸地蝕刻剩餘的已處理材料層426,直至暴露下層基板402為止。與在方塊304處執行之主要蝕刻製程之快速蝕刻速率相比,在方塊308處執行來移除已處理材料層426之化學蝕刻製程可 經控制來以緩慢的速率(諸如在約每秒1Å與約每秒10Å之間)進行,以便提供對界面蝕刻之良好控制,藉此允許用於自基板402移除之已處理材料層426的蝕刻終點為精確的。化學蝕刻製程經設置來與已處理材料層426化學反應且自基板402移除已處理材料層426,而無對基板表面之過度侵蝕及物理轟擊、濺射或偏壓,藉此提供緩慢地移除已處理材料層426之適度蝕刻製程。化學蝕刻製程之每一循環可僅蝕刻掉已處理材料層426之約25Å,以便在具有良好輪廓控制的情況下緩慢地移除已處理材料層426,藉此減少對基板之過度蝕刻、輪廓變形或失去臨界尺寸(critical dimension;CD)控制的可能性。
在第4D圖中描繪之實施例中,在化學蝕刻之第一循環之後,已處理材料層426可具有留下供進一步蝕刻之約900Å之厚度432。換言之,在化學蝕刻之第一循環中,已處理材料層426可具有自基板402移除的介於約20Å與約50Å之間的厚度。
在化學蝕刻製程期間,可調整若干製程參數來控制化學蝕刻製程。在一個示例性實施例中,將處理腔室100中之製程壓力調整為介於約10毫托與約5000毫托之間,諸如介於約800毫托與約5托之間。以約60MHz之頻率提供RF電源以維持化學蝕刻氣體混合物中之電漿。例如,約20至約50瓦特之RF電源可為化學蝕刻氣體混合物可以介於約15sccm至約60sccm之間的速率流動至腔室中。將基板溫度維持在約25攝氏度至約300攝氏度之間,諸如在約50攝氏度 與約110攝氏度之間。在化學蝕刻製程期間不提供偏壓功率以減少離子轟擊。
在方塊310處,可執行過渡製程以自基板表面移除蝕刻殘餘物。可將包括淨化氣體或載氣之過渡氣體混合物供應至處理腔室以幫助泵送/淨化來自處理腔室之蝕刻殘餘物。適合的淨化氣體/載氣包括氬氣、氦氣、氫氣、氮氣或上述各者之混合物。腔室內之操作壓力可為可變的。在此泵送/淨化製程處可消除RF源或RF偏壓功率之施加,例如,在過渡製程期間不施加RF功率。淨化氣體/載氣可用來促進蝕刻殘餘物自處理腔室之泵送/淨化。在一個實施例中,基板經受過渡製程之執行達約5秒至約5分鍾之間。例如,可使基板暴露達約5秒至約10秒。在一示例性實施例中,使基板暴露達約5秒。
請注意,可重複(亦即,循環)地執行方塊306、308及310,如由第3圖中之迴路312所指示,直至在材料層406中形成特徵414之所要的目標深度438從而暴露基板402上之下層蝕刻終止層404之表面436為止,如第4E圖中所示。在一個實施例中,所要的目標深度438可介於約40nm與約60nm之間。重複的製程可循環地且遞增地蝕刻材料層406而不會過度強侵蝕材料層406,藉此提供良好的界面蝕刻控制及適當的蝕刻終止終點。使用重複性處理、化學蝕刻製程及過渡製程的遞增蝕刻改良了特徵垂直度且促進材料層406與蝕刻終止層404之間的蝕刻選擇性,藉此提高光罩411與材料層406之間的遮罩至特徵CD轉移的精確度。在一個實施例 中,特徵411可具有小於40nm之臨界尺寸及大於7之深寬比,諸如在約5與約10之間。
因此,提供用於以高選擇性及良好輪廓控制循環地蝕刻材料層之方法及設備。該方法可允許具有良好界面控制的適當蝕刻終止終點,同時提供可接受範圍的整體蝕刻產量。蝕刻製程利用主要蝕刻製程以及循環三步蝕刻製程(例如,處理製程、化學清潔製程及過渡製程)來將特徵蝕刻至材料層中。主要蝕刻製程以及循環三步蝕刻製程可以高選擇性及良好輪廓控制有效地在材料層中形成特徵,而不顯著降級總製程時間。
雖然前述內容針對本發明之實施例,但可在不脫離本發明之基本範疇的情況下設計本發明之其他及進一步實施例,且本發明之範疇由以下申請專利範圍決定。
402‧‧‧基板
404‧‧‧下層蝕刻終止層
406‧‧‧材料層
408‧‧‧硬光罩層
410‧‧‧光阻劑層
411‧‧‧圖案化光罩層
414‧‧‧敞開特徵
416‧‧‧側壁/材料層
418‧‧‧預定深度
420‧‧‧表面
422‧‧‧所要的厚度
426‧‧‧已處理材料層
428‧‧‧已處理上表面
450‧‧‧膜堆疊

Claims (20)

  1. 一種用於在一材料層中蝕刻一特徵之方法,該方法包含以下步驟:(a)在一處理腔室中執行一主要蝕刻製程以蝕刻由安置於一基板上之一圖案化光罩層暴露的一氧化物層,在該氧化物層中形成具有一第一預定深度之一特徵;(b)藉由將一處理氣體混合物供應至該處理腔室中以處理該氧化物層中之該蝕刻的特徵來對該基板執行一處理製程;(c)藉由將一化學蝕刻氣體混合物供應至該處理腔室中來對該基板執行一化學蝕刻製程,其中該化學蝕刻氣體包括至少氨氣及三氟化氮,其中該化學蝕刻製程進一步將該特徵蝕刻至一第二預定深度;以及(d)藉由將一過渡氣體混合物供應至該處理腔室中來對該蝕刻的基板執行一過渡製程。
  2. 如請求項1所述之方法,其中該處理氣體混合物包括至少一含氫氣體、一含氮氣體或一惰性氣體。
  3. 如請求項1所述之方法,其中該過渡氣體混合物包括至少一含氫氣體、一含氮氣體或一惰性氣體。
  4. 如請求項1所述之方法,其進一步包含以下步驟: 重複地執行步驟(b)-步驟(d)以蝕刻該氧化物層中之該特徵,直至暴露一下層基板為止。
  5. 如請求項1所述之方法,其中該第一預定深度為蝕刻之前該氧化物層之一總厚度之約15%至約25%。
  6. 如請求項4所述之方法,其中該第一預定深度與該第二預定深度之一比率在約2:1至約8:1之間。
  7. 如請求項1所述之方法,其中執行該主要蝕刻製程進一步包含以下步驟:供應包括C4F8或C4F6之一蝕刻氣體混合物;以及施加一RF偏壓功率至該蝕刻氣體混合物以產生一電漿。
  8. 如請求項1所述之方法,其中對該基板執行該處理製程之步驟進一步包含以下步驟:施加一RF偏壓功率至該處理氣體混合物。
  9. 如請求項1所述之方法,其中對該基板執行該化學蝕刻製程之步驟進一步包含以下步驟:施加一RF電源至該化學蝕刻氣體混合物。
  10. 如請求項1所述之方法,其中執行該過渡製程之步驟進一步包含以下步驟: 在不施加一RF功率的情況下供應該過渡氣體混合物以移除蝕刻殘餘物。
  11. 如請求項1所述之方法,其中對該基板執行該化學蝕刻製程之步驟進一步包含以下步驟:在該化學蝕刻氣體混合物中供應自約5:1至約30:1之一莫耳比率的該氨氣及該三氟化氮。
  12. 如請求項1所述之方法,其進一步包含以下步驟:將一基板溫度維持在約50攝氏度與約110攝氏度之間。
  13. 一種用於在一材料層中蝕刻一特徵之方法,該方法包含以下步驟:(a)在一處理腔室中轉移一基板,該基板具有安置於該基板上之一材料層,其中該材料層具有安置於該材料層上暴露該材料層之一部分的一圖案化光罩層;(b)將一蝕刻氣體混合物供應至該處理腔室中以蝕刻由該圖案化光罩層暴露的該材料層之該部分,以在該材料層中形成一特徵,直至在該材料層中形成該特徵之一第一預定深度為止,其中該蝕刻氣體混合物包括一鹵化碳氣體;(c)供應一處理氣體混合物以處理形成於該材料層中之該特徵,其中該處理氣體混合物包括一惰性氣體;以及(d)將一化學蝕刻氣體混合物供應至該處理腔室中,其中該化學蝕刻氣體混合物包括至少氨氣及三氟化氮;以及 (e)將一過渡氣體混合物供應至該處理腔室,其中該過渡氣體混合物包括一惰性氣體。
  14. 如請求項13所述之方法,其中在供應該蝕刻氣體混合物時自該材料層蝕刻掉的該特徵之該第一預定深度在該材料層之該總厚度之約15%與約25%之間。
  15. 如請求項13所述之方法,其中該化學蝕刻氣體混合物中之該氨氣及該三氟化氮為自約5:1至約30:1之一莫耳比率。
  16. 如請求項13所述之方法,其進一步包含以下步驟:重複地執行步驟(c)及(e),直至在該材料層中形成該特徵之一第二預定深度為止。
  17. 如請求項13所述之方法,其進一步包含以下步驟:施加一RF偏壓功率至該處理氣體混合物;施加一RF電源至該化學蝕刻氣體混合物;以及在不施加一RF功率的情況下供應該過渡氣體混合物以移除蝕刻殘餘物。
  18. 如請求項13所述之方法,其中該材料層為一氧化物層,該氧化物層經設置來形成一接觸結構。
  19. 一種用於在一材料層中蝕刻一特徵之方法,該方法包含以下步驟:(a)將一基板轉移至一處理腔室中,該基板具有安置於該基板上之一材料層,其中該材料層具有安置於該材料層上暴露該材料層之一部分的一圖案化光罩層;(b)將一C4F8或C4F6氣體供應至該處理腔室中,以蝕刻由該圖案化光罩層暴露的該材料層之該部分以在該材料層中形成一特徵,直至在該材料層中形成該特徵之一第一預定深度為止,其中該特徵之該第一預定深度在該材料層之一總厚度之約15%與約25%之間;(c)供應一Ar氣或He氣,同時施加一第一RF偏壓功率,以處理形成於該材料層中之該特徵;(d)供應包括至少氨氣及三氟化氮之一化學蝕刻氣體混合物,同時施加一第一RF電源,以蝕刻該已處理特徵;以及(e)在不施加一RF功率的情況下將一Ar氣或He氣供應至該處理腔室。
  20. 如請求項19所述之方法,其進一步包含以下步驟:重複地執行步驟(c)至(e),直至在該材料層中形成該特徵之一所要的深度為止。
TW103128479A 2013-08-20 2014-08-19 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法 TWI605503B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361868037P 2013-08-20 2013-08-20
US14/059,416 US9543163B2 (en) 2013-08-20 2013-10-21 Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process

Publications (2)

Publication Number Publication Date
TW201519299A true TW201519299A (zh) 2015-05-16
TWI605503B TWI605503B (zh) 2017-11-11

Family

ID=52480745

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103128479A TWI605503B (zh) 2013-08-20 2014-08-19 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法

Country Status (3)

Country Link
US (1) US9543163B2 (zh)
TW (1) TWI605503B (zh)
WO (1) WO2015026506A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI654657B (zh) 2015-11-17 2019-03-21 聯華電子股份有限公司 溝渠的形成方法
CN110993499A (zh) * 2019-11-05 2020-04-10 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
TWI707396B (zh) * 2016-05-10 2020-10-11 日商東京威力科創股份有限公司 蝕刻方法
TWI804477B (zh) * 2016-11-18 2023-06-11 美商應用材料股份有限公司 透過物理氣相沉積沉積非晶矽層或碳氧化矽層的方法

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9934984B2 (en) 2015-09-09 2018-04-03 International Business Machines Corporation Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) * 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) * 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10692734B2 (en) * 2018-10-25 2020-06-23 Applied Materials, Inc. Methods of patterning nickel silicide layers on a semiconductor device
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113097056A (zh) * 2019-12-23 2021-07-09 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
JP2021163839A (ja) * 2020-03-31 2021-10-11 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6162733A (en) * 1999-01-15 2000-12-19 Lucent Technologies Inc. Method for removing contaminants from integrated circuits
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
JP5016767B2 (ja) 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6905800B1 (en) * 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7276441B1 (en) 2003-04-15 2007-10-02 Lsi Logic Corporation Dielectric barrier layer for increasing electromigration lifetimes in copper interconnect structures
US7265056B2 (en) * 2004-01-09 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming novel BARC open for precision critical dimension control
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7550381B2 (en) 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
US7998873B2 (en) * 2007-06-15 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating low-k dielectric and Cu interconnect
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US20110253670A1 (en) 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8802572B2 (en) * 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9514953B2 (en) * 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI654657B (zh) 2015-11-17 2019-03-21 聯華電子股份有限公司 溝渠的形成方法
TWI707396B (zh) * 2016-05-10 2020-10-11 日商東京威力科創股份有限公司 蝕刻方法
TWI804477B (zh) * 2016-11-18 2023-06-11 美商應用材料股份有限公司 透過物理氣相沉積沉積非晶矽層或碳氧化矽層的方法
CN110993499A (zh) * 2019-11-05 2020-04-10 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
CN110993499B (zh) * 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
US11948805B2 (en) 2019-11-05 2024-04-02 Beijing Naura Microelectronics Equipment Co., Ltd. Etching method, air-gap dielectric layer, and dynamic random-access memory

Also Published As

Publication number Publication date
WO2015026506A1 (en) 2015-02-26
TWI605503B (zh) 2017-11-11
US20150056814A1 (en) 2015-02-26
US9543163B2 (en) 2017-01-10

Similar Documents

Publication Publication Date Title
TWI605503B (zh) 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
TWI654683B (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
TWI815809B (zh) 無水的蝕刻方法
US9514953B2 (en) Methods for barrier layer removal
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US9269587B2 (en) Methods for etching materials using synchronized RF pulses
TWI640040B (zh) 用於穩定蝕刻後界面以減少下一處理步驟前佇列時間問題的方法
TW201546876A (zh) 在針對半導體應用之整合群集系統中形成互連結構的方法
JP7176106B2 (ja) 誘電体材料の堆積方法
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
TW201517122A (zh) 將用於離子植入製程之硬光罩層圖案化的方法
TWI768564B (zh) 用於蝕刻硬體之基於氫電漿清洗處理