JP4439860B2 - 半導体基板上への成膜方法 - Google Patents

半導体基板上への成膜方法 Download PDF

Info

Publication number
JP4439860B2
JP4439860B2 JP2003312924A JP2003312924A JP4439860B2 JP 4439860 B2 JP4439860 B2 JP 4439860B2 JP 2003312924 A JP2003312924 A JP 2003312924A JP 2003312924 A JP2003312924 A JP 2003312924A JP 4439860 B2 JP4439860 B2 JP 4439860B2
Authority
JP
Japan
Prior art keywords
gas
fluorine
plasma
oxygen
species
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003312924A
Other languages
English (en)
Other versions
JP2004134766A (ja
Inventor
ネルソン・ローク・チョウ・サン
健一 加々美
清志 佐藤
Original Assignee
日本エー・エス・エム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本エー・エス・エム株式会社 filed Critical 日本エー・エス・エム株式会社
Publication of JP2004134766A publication Critical patent/JP2004134766A/ja
Application granted granted Critical
Publication of JP4439860B2 publication Critical patent/JP4439860B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は概して化学気相成長(CVD)チャンバのクリーニングに関し、特に活性酸素種によりCVDから炭素含有蒸着物をクリーニングする方法に関する。
例えば、CPU、メモリまたはシステムLSIのような従来の大規模集積回路(LSI)デバイスにおいて、金属回路配線間の絶縁体は二酸化珪素(SiH4に基づくSiO2またはTEOSに基づくSiO2)またはフッ化石英ガラスである。金属配線の抵抗または金属配線間の容量を減少させることによってデバイスの速度を増加させることができる。金属配線の抵抗はアルミニウム合金の替わりに導体として銅を使用することによって減少する。絶縁体の容量はSiO2または関連する材料ではなくlow-k膜を使用することによって減少する。典型的にSiO2に基づく膜の比誘電率は約3.8〜約4.4である。典型的にlow-k膜の比誘電率は約2.0〜約3.0である。これらの方法によってRC遅延は減少し、それによって高速デバイスの製造が可能になる。
いくつかのlow-k材料が開発されてきた。low-k材料のひとつのタイプは炭素がドープされたSiO2である。典型的にそのような膜はSi、O、C及びHを含む。例えば、特許文献1及び特許文献2参照。
米国特許第6,352,945号明細書 米国特許第6,383,955号明細書
純粋なまたはフッ素がドープされたSiO2が化学気相成長(CVD)リアクタ内の半導体ウエハ上に蒸着されるとき、CVDリアクタの内側面にもSiO2が蒸着される。典型的にこの汚染材料が現場(in-situ)プラズマクリーニングによって除去される。フッ素含有ガスはクリーニングガスとして使用され、CVDチャンバ内部の局所的プラズマ放電によって活性化される。そのようなクリーニングガスの例として、酸素と混合されたC2F6、CF4、及びC3F8が含まれる。
しかしクリーニング処理で使用されるフッ化炭素は温室効果に寄与する温室ガスである。温室ガスの使用を減少させるために、クリーニングガスとしてNF3が遠隔プラズマ法で使用された。アルゴンのキャリアガスがCVDリアクタから分離された遠隔プラズマチャンバでプラズマ放電を安定化させる。この処理は特許文献3及び特許文献4に開示されている。
米国特許第6,187,691号明細書 米国特許出願第2002/0011210A1
同様に、low-k膜の蒸着後、典型的にCVDリアクタは炭素、シリコン、酸素及び水素含有残留物によって汚染される。NF3から導かれるフッ素含有種が導管を通じて遠隔プラズマチャンバからCVDリアクタ内に導入され、これらの汚染物質を除去する。活性種(例えば、フッ素ラジカル)は汚染物質と反応するが、炭素含有汚染物質は反応してフッ化炭素化合物を形成し、CVDチャンバ内に残留する。low-k絶縁膜の蒸着の間、これらのフッ化炭素化合物は蒸発しウエハ上の膜形成に影響を及ぼす。
このフッ化炭素汚染は、蒸着速度を減少させ、個々のウエハ上に不均一な厚さの膜を生成し、または多くの連続処理されるウエハ間で不均一な厚さの膜を生成することによって、low-k層の蒸着に悪影響を及ぼす、不所望な処理ガス混合物を生じさせる。単一ウエハ上の膜厚不均一性は、ウエハ上の膜厚の最大値と最小値との差を、ウエハの膜厚の平均値で割って、それを2で割って、100を掛けることにより計算されるパーセンテージとして表される。複数のウエハ間の膜厚不均一性は、ロット中の膜厚の最大値と最小値との差を、ロットの平均膜厚で割って、それを2で割って、100を掛けることにより計算されるパーセンテージとして表される。典型的に、最初のウエハの膜不均一性は2番目のウエハに比べ悪い。例えば、25枚ウエハ処理サイクルにおいて、最初のウエハに対する蒸着速度はその後のウエハに対する速度と比べ±1.4%変化し、最初のウエハに対する単一ウエハの膜厚不均一性は±(2.7%〜3.5%)であった。
これらの不均一性は、デバイスのk値に影響を与えるため好ましくない。デバイスk値は2つの平行な金属配線間の絶縁された絶縁体の測定容量である。2つの平行な導体間の容量は導体間の総断面積に絶縁膜の誘電率を掛けて2つの導体間の距離で割ったものである。例えば、単一の絶縁膜によって絶縁された一対の金属線間の容量はC=keffε(A/d)であり、ここでε=8.85×10−12C2/Nm2、Cは測定容量、Aは2つの配線間の総断面積(絶縁膜厚t×有効配線長Leff)、dは金属配線間の距離(離隔幅)、keffは膜の有効比誘電率である。したがって、有効kはkeff=(C/ε)(d/A)として計算される。容量Cは絶縁膜厚tに依存するため、keffもまた膜厚に依存する。
フッ化炭素及びNF3プラズマクリーニング処理の両方において、CVDリアクタはアルゴンのような不活性ガス中の活性フッ素種によってクリーニングされる。しかし両方の方法は蒸着処理に不所望な変化を生じさせる不揮発性フッ化炭素副産物を生成する。
本発明は、例えば酸素プラズマから生成される活性酸素種によってCVDリアクタの反応チャンバから汚染物質をクリーニングする方法に関する。当該方法は特にPECVD(プラズマ強化CVD)リアクタ、特にlow-k膜を含む絶縁膜を蒸着するのに使用されるPECVDリアクタをクリーニングするのに適している。ここに開示される方法は特に、Si、C、O及びHを含む炭素がドープされた酸化シリコンを含む炭素含有膜、Si、C及びHを含むシリコンカーバイド膜、及びSi、C、N及びHを含むSiCN膜を蒸着するのに使用されるPECVDリアクタをクリーニングするのに適している。典型的にPECVDリアクタはウエハ上に膜を蒸着するのに使用される単一または小型のバッチ基板処理装置である。
好適には、酸素プラズマクリーニング処理はロットの最初のウエハがCVDリアクタ内にロードされる前の1時間またはそれ以下の時間で実行される。25枚のウエハが典型的なひとつのロットである。このアプリケーションはクリーニング処理用にCVD反応チャンバへ活性酸素種を与える3つの実施例を説明する。
(1)酸素プラズマがCVD反応チャンバ内に生成されるところの現場酸素プラズマクリーニング処理。
(2)酸素プラズマがCVD反応チャンバから分離されたプラズマチャンバ内で生成されるところのダウンストリーム酸素プラズマクリーニング処理。
(3)活性酸素及びフッ素種の両方を含むプラズマがCVD反応チャンバから分離されたプラズマチャンバ内で生成されるところのダウンストリーム酸素フッ素プラズマクリーニング処理。
ここに開示される処理を使用するCVDリアクタのクリーニングサイクルに要する時間は蒸着処理の条件に依存する。典型的に、蒸着される材料が多いほど、クリーニングサイクルは長くなる。クリーニングサイクルの長さは過度の実験をせずに当業者によって簡単に確かめられる。酸素プラズマCVDクリーニング工程を実行した後、最初のウエハをロードする前に、ウエハ支持構造体の温度が安定するまで、リアクタは最大真空若しくは以下に定義されるようなスタンバイモードでアイドリング状態にある。
上記したように、典型的にCVDリアクタによって蒸着された最初のウエハの膜厚不均一性は2番目のウエハに比べ悪い。例えば、一回の25枚ウエハ処理サイクルにおいて、最初のウエハに対する蒸着速度は後のウエハに対する蒸着速度に比べ±1.4%変化し、膜厚不均一性は±(2.7%〜3.5%)であった。しかし、ここに開示される酸素プラズマクリーニング処理を適用した後、最初のウエハの蒸着速度は1%以下でより遅くなり、膜厚不均一性は±2.5%以下となった。
本発明に係るプラズマクリーニング方法によれば、蒸着される絶縁膜の膜厚不均一性が従来の方法に比べ改善される。また不所望な汚染物質が生成されることもない。その結果、歩留まりが向上する。
ここで使用される酸素プラズマはCVDチャンバのクリーニングに有効な活性酸素種から成るプラズマである。フッ素プラズマはCVDチャンバのクリーニングに有効な活性フッ素種から成る。活性酸素種及び活性フッ素種の両方から成るプラズマは酸素及びフッ素プラズマの両方である。イオン及びラジカルを含む活性種はイオン補助エッチングを含む活性種補助エッチングを通じてCVD反応チャンバから汚染物質を除去する。
酸素含有ガスはプラズマを生成するのに使用される際、活性酸素種を生成するようなガスである。好適な酸素含有ガスはO2、CO2、NO2、N2O、H2O、H2O2、O3及びO2及びO3の混合物である。フッ素含有ガスはプラズマを生成するのに使用される際、活性フッ素種を生成するようなガスである。フッ素含有ガスはフッ素、炭化フッ素ガス、炭化水素フッ素ガス、及びガス状フッ化窒素を含む。好適な炭化フッ素ガスは、CF4、C2F6及びC3F8である。好適な炭化水素フッ素ガスはCHF3である。好適なガス状フッ化窒素はNF3である。不活性ガスはHe、Ar、Ne、Kr及びXeである。
酸素プラズマ生成
現場酸素プラズマクリーニング処理において、酸素プラズマは酸素含有ガス及び不活性ガス若しくは窒素の混合物で充満したCVDチャンバ内の電極を通じてRFソースによって生成される。ヘリウムまたはアルゴンを加えることでプラズマ安定性が強化される。
プラズマ放電を生成するために、27.12MHzのRFソースがCVDチャンバ内の電極を通じて出力される。プラズマ放電を生成するのに例えば13.56MHz、400kHz、380kHz、350kHz、300kHz及び2MHzのような他の周波数が使用されてもよい。例えば、27.12MHz+400kHz及び13.56MHz+430kHzのように2つの周波数がマッチングネットワーク内で混合されるところの二重周波数RFソースもまたプラズマ放電を生成するのに有用である。満足なプラズマを生成するのに必要なRF周波数及び電力を選択することは当業者にとって周知である。
現場酸素プラズマクリーニング処理の典型的な条件は、以下の通りである。
O2 0.1〜5.0slm
He 0.1〜10.0slm
リアクタ圧力 10〜1000Pa
処理時間 >20秒
RF電力 200〜10,000W
好適な条件は以下の通りである。
O2 0.5〜3.0slm
He 0.5〜5.0slm
リアクタ圧力 50〜500Pa
処理時間 >60秒
RF電力 500〜2000W
特に好適な条件は以下の通りである。
O2 1.0〜2.0slm
He 1.0〜2.0slm
リアクタ圧力 100〜250Pa
処理時間 >120秒
RF電力 500〜1000W
ダウンストリーム酸素プラズマクリーニング処理において、酸素プラズマが生成されるところのCVDリアクタから離れたプラズマチャンバへ酸素含有ガスが供給される。CVDクリーニング用のプラズマを遠隔的に生成することは米国特許第6,187,691及び米国特許出願第2002/0011210A1に記載されており、これらの開示はここに参考文献として組み込まれる。簡単に言えば、マイクロ波またはRFエネルギーのようなエネルギーが酸素含有ガスを活性酸素種に解離するのに使用される。その後、活性酸素種はプラズマチャンバから下流に配置されたCVDリアクタ内に導入される。RFソースの周波数は約400kHzから約2.45GHzの範囲である。好適に、遠隔プラズマチャンバは約400kHzの周波数を有する低磁場トロイダルプラズマを使用する。不活性ガスまたは窒素ガスが酸素含有ガスへ添加されてもよい。ヘリウムまたはアルゴンを添加することにより、プラズマ安定性が強化される。
ダウンストリーム酸素プラズマクリーニング処理の典型的な条件は以下の通りである。
O2 0.1〜5slm
Ar 0.1〜10slm
リアクタ圧力 10〜1350Pa
処理時間 >20秒
RF電力 200〜10,000W
好適な条件は以下の通りである。
O2 0.5〜3.0slm
Ar 0.5〜5.0slm
リアクタ圧力 50〜500Pa
処理時間 >60秒
RF電力 1000〜5000W
特に好適な条件は以下の通りである。
O2 1.0〜2.0slm
Ar 1.0〜2.0slm
リアクタ圧力 100〜250Pa
処理時間 >120秒
RF電力 1000〜3000W
ダウンストリーム酸素フッ素プラズマクリーニング処理において、酸素含有ガス及びフッ素含有ガスがCVDリアクタから分離されたプラズマチャンバへ供給される。RFエネルギーは酸素含有ガス及びフッ素含有ガスをそれぞれ活性酸素種及び活性フッ素種に解離するのに使用される。活性酸素及びフッ素種はその後プラズマチャンバの下流側に配置されたCVDリアクタ内に導入される。不活性ガスまたは窒素が混合ガスに添加されてもよい。ヘリウムまたはアルゴンを添加することによってプラズマ安定性が強化される。O2はおそらくフッ素イオンの再結合を減少させることによって活性フッ素種の寿命を増加させる。NF3、アルゴン及びO2の混合ガスはダウンストリーム酸素フッ素プラズマクリーニング処理に好適である。
ダウンストリーム酸素フッ素プラズマクリーニング処理の典型的な条件は以下の通りである。
O2 0.1〜3.0slm
NF3 0.2〜5.0slm
Ar 0.2〜10.0slm
リアクタ圧力 10〜1350Pa
処理時間 >20秒
RF電力 500〜10,000W
好適な条件は以下の通りである。
O2 0.2〜1.0slm
NF3 0.5〜3.0slm
Ar 0.5〜5.0slm
リアクタ圧力 50〜500Pa
処理時間 >60秒
RF電力 750〜5000W
特に好適な条件は以下の通りである。
O2 0.3〜0.5slm
NF3 0.75〜1.5slm
Ar 1.0〜4.0slm
リアクタ圧力 100〜250Pa
処理時間 >120秒
RF電力 2000〜3000W
ダウンストリーム酸素及び酸素フッ素プラズマクリーニング処理において、酸素含有ガスまたは酸素含有ガス及びフッ素含有ガスの混合ガスのいずれかのクリーニングガスの導入前にプラズマチャンバ内で初期プラズマが生成される。ひとつの好適実施例において、初期プラズマは不活性ガスによって生成される。第2の好適実施例において、初期プラズマはO2ガスから生成される。
CVDリアクタの酸素プラズマクリーニング
ここに開示される酸素プラズマCVDクリーニング処理はlow-k膜及びSiC蒸着処理の両方において有用である。以下に使用される“スタンバイモード”において、CVDチャンバ圧力は約533.2Paに設定され、かつN2が約1slpm流される。
図1(a)は乾式プラズマクリーニング処理のひとつの好適実施例を示す。ステップ110において、CVDリアクタがスタンバイモードにある。ステップ120で、CVDリアクタは現場酸素プラズマクリーニング処理によってプレクリーニングされる。ステップ130で最初のウエハがCVDリアクタ内にロードされ、ステップ140でその上に所望の膜が蒸着され、ステップ150でウエハがアンロードされる。ステップ160で、CVDリアクタが遠隔的に生成されたNF3アルゴンプラズマによってクリーニングされる。ステップ130から160はロット内の残りのウエハに対して繰り返される。最後のウエハの処理が終了した後、CVDリアクタはステップ170でスタンバイモードに戻される。
図1(b)は、乾式プラズマクリーニング処理の第2の好適実施例を示す。ステップ210において、CVDリアクタはスタンバイモードにある。ステップ215でリアクタは遠隔的に生成されたNF3-アルゴンプラズマによって最初にクリーニングされる。ステップ220でリアクタは現場酸素プラズマクリーニング処理によってプレクリーニングされる。ステップ215及び220は逆の順序で実行されてもよい。ステップ230で最初のウエハがCVDリアクタ内にロードされ、ステップ240でその上に所望の膜が蒸着され、ステップ250でウエハがアンロードされる。ステップ260でCVDリアクタが遠隔的に生成されたNF3-アルゴンプラズマによってクリーニングされる。ステップ230から260はロット内の残りのウエハに対して繰り返される。最後にウエハの処理が終了した後、ステップ270でCVDリアクタはスタンバイモードに戻される。
図2(a)は乾式プラズマクリーニング処理の第3の好適実施例を示す。ステップ310において、CVDリアクタはスタンバイモードにある。ステップ320でリアクタはダウンストリーム酸素プラズマクリーニング処理によってプレクリーニングされる。ステップ330で最初のウエハがCVDリアクタ内にロードされ、ステップ340でその上に所望の膜が蒸着され、ステップ350でウエハがアンロードされる。ステップ360でCVDリアクタは遠隔的に生成されたNF3-アルゴンプラズマによってクリーニングされる。ステップ330から360はロット内の残りのウエハに対して繰り返される。最後のウエハの処理が終了した後、ステップ370でCVDリアクタはスタンバイモードに戻される。
図2(b)は乾式プラズマクリーニング処理の第4の好適実施例を示す。ステップ410においてCVDリアクタはスタンバイモードにある。ステップ415でCVDリアクタは遠隔的に生成されたNF3-アルゴンプラズマによって最初にクリーニングされる。ステップ420でリアクタはダウンストリームO2プラズマクリーニング処理によってプレクリーニングされる。ステップ415及び420は逆の順序で実行されてもよい。その後ステップ430で最初のウエハがCVDリアクタ内にロードされ、ステップ440で所望の膜が蒸着され、ステップ450でウエハがアンロードされる。ステップ460でCVDリアクタが遠隔的に生成されたNF3-アルゴンプラズマによってクリーニングされる。ステップ430から460はロット内の残りのウエハに対して繰り返される。最後のウエハの処理が終了した後、ステップ470でCVDリアクタはスタンバイモードに戻される。
図3は乾式プラズマクリーニング処理の第5の好適実施例を示す。ステップ510において、リアクタはスタンバイモードにある。ステップ520でリアクタはダウンストリーム酸素-フッ素プラズマクリーニング処理によってクリーニングされる。その後ステップ530で最初のウエハがCVDリアクタ内にロードされ、ステップ540で所望の膜が蒸着され、ステップ550でウエハがアンロードされる。ステップ560でCVDリアクタが遠隔的に生成されたNF3-アルゴンプラズマまたはダウンストリーム酸素-フッ素プラズマクリーニング処理のいずれかによってクリーニングされる。ステップ530から560はロット内の残りのウエハに対して繰り返される。最後のウエハの処理が終了した後、ステップ570でCVDリアクタがスタンバイモードに戻される。
開示された乾式プラズマクリーニング処理のさらに他の実施例において、各ウエハへの膜蒸着工程の後にCVD反応チャンバのフッ素プラズマクリーニングは実行されない。その代わり、所定の枚数のウエハへの膜蒸着が終了した後、フッ素プラズマクリーニング工程が実行される。フッ素プラズマクリーニング工程に対して要求される頻度は特定の蒸着条件に依存し、その決定は過度の実験をすることなく当業者の態様の範囲内にある。フッ素プラズマクリーニング工程の頻度を減少させることにより、CVD装置のスループットが改善される。
図1から3に示された各処理はこの実施例にしたがって修正され得る。例えば、本発明の実施例に従う図1(a)に示された処理と類似する処理が図4に示されている。ステップ610においてCVDリアクタはスタンバイモードにある。ステップ620でCVDリアクタは現場酸素プラズマクリーニング処理によってプレクリーニングされる。ステップ630でCVDリアクタ内に最初のウエハがロードされ、ステップ640でその上に所望の膜が蒸着され、ステップ650でウエハがアンロードされる。ステップ630から650は所定の枚数のウエハに対して繰り返される。ステップ660でCVDリアクタは遠隔的に生成されたNF3-アルゴンプラズマによってクリーニングされる。ステップ630から660はロット内の残りのウエハに対して繰り返される。最後のウエハの処理が終了した後、ステップ670でCVDリアクタはスタンバイモードに戻される。
図5は、ここに開示される酸素プラズマクリーニング処理が実行されるところの平行平板型プラズマ強化CVD装置を示す。クリーニング処理はまた例えば減圧熱CVD装置のような他のタイプのCVD装置において実行されてもよい。図5に示されたCVD装置は遠隔的に生成されたプラズマをCVD処理チャンバへ与えるように構成されている。
図5に示された装置において半導体ウエハ上に膜を蒸着するための工程は以下のように実行される。スタンバイ時間経過後、一枚のウエハ3が移送チャンバ1内に配置される。ウエハ3は自動移送ロボット2によって移送チャンバ1に隣接する処理チャンバ4内のウエハ支持体またはサセプタ5上へロードされる。サセプタ5はヒータ(加熱エレメント)9が埋設され電極としても機能する。反応ガスはサセプタ5と平行なシャワーヘッド6からウエハ3上へ均一に供給される。高周波電力が高周波発振器7及び7’によってシャワーヘッド6に印加される。RFマッチングシステム12が高周波発振器7及び7’と処理チャンバ4との間で使用される。
例1
低比誘電率有機シリコンガラス膜蒸着処理
シリコンウエハ3上にSiCO膜を蒸着するために、Si(OCH3)2(CH3)2及びヘリウムの混合ガスが反応ガスとしてシャワーヘッド6から処理チャンバ4へ供給される。処理チャンバ4内の圧力は処理チャンバ4に連結したコンダクタンス調整バルブ8を使って約1060Pa以下に制御かつ調節される。ヒータ9はウエハ3がロードされるところのサセプタ5を加熱する。サセプタ5上にロードされると同時にウエハ3は約350℃から400℃に加熱される。13.56MHzの高周波電力、13.56MHz及び430kHzの混合電力、27.12MHzの電力、または27.12MHz及び400kHzの混合電力がサセプタ5とシャワーヘッド6との間に印加される。生成されたプラズマはウエハ3上に薄膜を形成する。薄膜が形成された後、ウエハ3は自動移送ロボット2によって処理チャンバ4の外へ運ばれる。
他の実施例において、テトラメチルシランSi(CH3)4またはトリメチルシランSiH(CH3)3及びO2、NO2、CO2、H2O、O3などの酸素含有ガスの混合物がSiCO膜を蒸着するための反応ガスとして使用される。
膜蒸着工程の後に処理チャンバ4から汚染物質(この場合SiCO化合物)を除去するために、ここに開示された酸素プラズマクリーニング処理が使用される。遠隔プラズマ放電チャンバ10内で高周波電力によるアルゴンのプラズマ点火に続き、遠隔プラズマ放電チャンバ10内にO2及びNF3の混合ガスが導入される。ガスが解離しかつ活性化した後、それはバルブ11を介して処理チャンバ4内に導入され、処理チャンバ4をクリーニングする。
膜蒸着及びクリーニング処理はウエハの各ロットに対して選択的に実行される。
典型的なSiCO膜の蒸着条件は以下の通りである。
Si(OCH3)2(CH3)2 140sccm
ヘリウム 50sccm
RF電力(27.12MHz) 1500〜1650W
圧力 560Pa
電極間隔 24mm
基板温度 370〜380℃
典型的なダウンストリームフッ素プラズマクリーニング条件は以下の通りである。
NF3 1slm
アルゴン 5slm
RF電力(400kHz) 2.1〜2.8kW
例2
シリコンカーバイド(SiC)膜蒸着処理
シリコンカーバイド(SiC)膜は、ハードマスク、デュアルダマシンドライエッチ処理用のエッチストップ膜、またはCu拡散バリア膜として使用される。SiCを蒸着する際、SiCO膜蒸着で使用されるSi(OCH3)2(CH3)2の代わりにテトラメチルシランSi(CH3)4がCVDリアクタへ供給される。図5を参照して、テトラメチルシラン及びヘリウムの混合ガスが反応ガスとしてシャワーヘッド6から処理チャンバ4へ供給される。処理チャンバ4内の圧力はコンダクタンス調整バルブ8を使って約1060Pa以下に調節される。ヒータ9はウエハ3がロードされるところのサセプタ5を加熱する。ウエハ3はサセプタ5上にロードされると同時に約300〜380℃に加熱される。13.56MHzの高周波電力、13.56MHz及び430kHzの混合電力、27.12MHzの電力、または27.12MHz及び400kHzの混合電力がサセプタ5とシャワーヘッド6との間に印加される。生成されたプラズマはSiCの薄膜をウエハ3上に蒸着する。薄膜が形成された後、ウエハ3は自動移送ロボット2によって処理チャンバ4の外へ運ばれる。他の実施例において、SiC膜を蒸着するのにトリメチルシランSiH(CH3)3が使用されてもよい。SiCの代わりに酸素が少ないSiCO膜が使用されても良く、それは反応混合ガスにO2、NO2、CO2、O3またはそれらの混合物のような酸素含有ガスを添加することによって蒸着される。CO2はSiCO膜を蒸着するのに好適な酸素含有ガスである。
典型的なSiC膜蒸着条件は以下の通りである。
テトラメチルシラン 200〜700sccm
ヘリウム 500〜5000sccm
RF電力(27.12MHz) 300〜1000W
RF電力(400kHz) 100〜300W
圧力 300〜700Pa
電極間隔 24mm
基板温度 320〜380℃
典型的なダウンストリーム酸素-フッ素プラズマクリーニング条件は以下の通りである。
NF3 0.5〜1slm
アルゴン 2〜5slm
O2 0.1〜1.0slm
RF電力(400kHz) 2.0〜2.8kW
SiCN膜がシリコンカーバイド膜の代わりに使用されても良い。SiCN膜はNH3などの窒素含有ガスを蒸着混合ガスに添加することによって蒸着される。
例3
前処理クリーニング
low-k膜及びSiC前処理クリーニングの両方に対して以下の3つのプラズマドライクリーニングシーケンスが使用される。
図5を参照して、現場酸素プラズマクリーニング方法において、O2及びHeがガスライン13を通じてCVDリアクタに入る。リアクタ内の圧力はコンダクタンス制御バルブ8によって制御される。リアクタ内の圧力が設定値に達すると、RF電力がオンされる。27MHzのRF電力がO2ガスを反応種に解離する。十分なイオン衝撃エネルギーを有する反応酸素種は反応チャンバ4の壁及びサセプタ5に付着したフッ素及び炭素化合物と反応する。処理によって不揮発性化合物は揮発性化合物に転換され、それがゲートバルブ8を通じて排気される。プリセットされたプラズマ・オン時間の後、RF電力並びにO2ガス及びHeガスは自動的にオフされる。コンダクタンス制御バルブ8が完全に開放され副産物を除去するべく全リアクタの完全な真空引きが行われる。
ダウンストリーム酸素プラズマクリーニング処理において、アルゴンのような不活性ガスがガスライン14を通じて遠隔プラズマチャンバ10内に導入される。バルブ11も同時に開放される。プラズマチャンバ10内のガス圧力が設定値に達すると、遠隔プラズマ装置10への電力がオンされる。予め混合された酸素含有ガス及び不活性ガス、例えばO2及びアルゴンの混合ガスがガスライン14を通じてプラズマチャンバ10内に導入される。O2はプラズマチャンバ10内で解離する。反応クリーニング種はバルブ11を介してCVDチャンバ内に入る。十分なエネルギーを有する反応クリーニング種は活性種(例えば、ラジカル)補助エッチングによってリアクタチャンバ4の壁及びサセプタ5上に付着したフッ素及び炭素の化合物を除去する。この処理によって不揮発性化合物は揮発性副産物に転換され、ゲートバルブ8を介して排気される。プリセットされたプラズマ・オン時間の後、遠隔プラズマ装置10は自動的にオフし、続いて予め混合されたガス及びアルゴンの両方の流れも停止する。コンダクタンス制御バルブ8は完全に開放され、副産物を除去するよう全リアクタの完全な真空引きが行われる。
ダウンストリーム酸素-フッ素プラズマクリーニング処理において、予め混合された酸素含有ガス、例えばアルゴンと混合されたO2がガスライン14を通じて遠隔プラズマチャンバ10内に導入される。バルブ11が同時に開放される。プラズマチャンバ10内のガス圧力が設定値に達すると、遠隔プラズマ装置10への電力がオンされる。次に、フッ素含有ガス、例えばNF3がガスライン14を通じてプラズマチャンバ10内に導入される。ガスはプラズマチャンバ10内で解離する。反応クリーニング種はバルブ11を介してCVDプラズマ処理チャンバ4に入る。O2はフッ素イオンの再結合を減少させることによってフッ素反応種濃度を増加させる。生成されたプラズマは反応酸素種と反応フッ素種の両方を含む。十分なエネルギーを有する反応クリーニング種は、活性種(例えば、ラジカル)補助エッチングによって処理チャンバ4の壁及びサセプタ5に付着したフッ素及び炭素化合物を除去する。この処理によって不揮発性化合物は揮発性副産物に転換され、ゲートバルブ8を介して排気される。プリセットされたプラズマ・オン時間の後、遠隔プラズマ装置10は自動的にオフになり、続いてソースガスの流れも停止する。コンダクタンス調整バルブ8は完全に開放され副産物を除去するよう全リアクタの完全な真空引きが行われる。
上で示され説明された実施例は本発明のある好適実施例の例として与えられたものである。本発明の思想及び態様から離れることなくここで与えられた実施例に対してさまざまな変更及び修正が為され得ることは当業者の知るところであり、発明の態様は特許請求の範囲によってのみ限定される。
図1(a)は、本発明の好適実施例に従って反応チャンバが現場酸素プラズマクリーニング処理によってプレクリーニングされるところの、半導体ウエハ上に絶縁膜を蒸着するための処理を示す。 図1(b)は、本発明の好適実施例に従って反応チャンバがNF3-アルゴンプラズマ及び現場酸素プラズマクリーニング処理によってプレクリーニングされるところの、半導体ウエハ上に絶縁膜を蒸着するための処理を示す。 図2(a)は、本発明の好適実施例に従って反応チャンバがダウンストリーム酸素プラズマクリーニング処理によってプレクリーニングされるところの、半導体ウエハ上に絶縁膜を蒸着するための処理を示す。 図2(b)は、本発明の好適実施例に従って反応チャンバがNF3-アルゴンプラズマ及びダウンストリーム酸素プラズマクリーニング処理によってプレクリーニングされるところの、半導体ウエハ上に絶縁膜を蒸着するための処理を示す。 図3は、本発明の好適実施例に従って反応チャンバがダウンストリーム酸素-フッ素プラズマクリーニング処理によってプレクリーニングされるところの、半導体ウエハ上に絶縁膜を蒸着するための処理を示す。 図4は、本発明の好適実施例に従って反応チャンバが現場酸素プラズマクリーニング処理によってプレクリーニングされるところの、半導体ウエハ上に絶縁膜を蒸着するための処理を示す。 図5は、開示された方法を実施するのに適したPECVD装置の断面図である。
符号の説明
1 移送チャンバ
2 ウエハ移送ロボット
3 半導体基板
4 反応チャンバ
5 サセプタ
6 シャワーヘッド
7、7’ 高周波発振器
8 コンダクタンス調整バルブ
9 ヒータ
10 遠隔プラズマ装置
11 バルブ
12 RFマッチングシステム
13 ガスライン
14 ガスライン

Claims (18)

  1. CVD反応チャンバ内で半導体基板上に炭素含有膜を形成する方法であって、
    (a)前記CVD反応チャンバを活性酸素種と接触させる工程と、
    (b)前記半導体基板を前記CVD反応チャンバ内に移送する工程と、
    (c)前記炭素含有膜を前記半導体基板上に堆積する工程と、
    (d)前記半導体基板を前記CVD反応チャンバ外へ移送する工程と、
    (e)前記CVD反応チャンバを活性フッ素種と接触させる工程と、
    (f)上記工程(b)から工程(e)を所定のロットの処理枚数に対応する回数繰り返す工程と、
    を備え、
    工程(b)、(c)及び(d)は、工程(a)の後であってかつ工程(e)の前に実行される、
    ことを特徴とする方法。
  2. 工程(b)から(d)は工程(e)が実行される前に所定のウエハの処理枚数に対応する回数繰り返される、
    ことを特徴とする請求項1記載の方法。
  3. 前記活性酸素種は前記CVD反応チャンバ内で生成される酸素プラズマの生成物である、
    ことを特徴とする請求項1記載の方法。
  4. 前記活性酸素種は前記CVD反応チャンバの外部で生成された酸素プラズマの生成物である、
    ことを特徴とする請求項1記載の方法。
  5. さらに、前記工程(b)の前に前記CVD反応チャンバを前記活性フッ素種と接触させる工程を含む、
    ことを特徴とする請求項1記載の方法。
  6. 前記CVD反応チャンバと前記活性フッ素種とを接触させる工程は、前記工程(a)の後に実行される、
    ことを特徴とする請求項5記載の方法。
  7. 前記CVD反応チャンバと前記活性フッ素種とを接触させる工程は、前記工程(a)の前に実行される、
    ことを特徴とする請求項5記載の方法。
  8. 前記活性フッ素種はガスから生成されるフッ素プラズマの生成物であり、当該ガスは、
    フッ素、炭化フッ素ガス、炭化水素フッ素ガス及びフッ化窒素ガスから成る群から選択されるフッ素含有ガスと、
    He、Ar、Ne、Kr、及びXeから成る群から選択される不活性ガスと、
    を含む、
    ことを特徴とする請求項5記載の方法。
  9. 前記活性フッ素種はガスから生成されるフッ素プラズマの生成物であり、当該ガスは、
    フッ素、炭化フッ素ガス、炭化水素フッ素ガス及びフッ化窒素ガスから成る群から選択されるフッ素含有ガスを含む、
    ことを特徴とする請求項5記載の方法。
  10. 前記フッ素含有ガスはCF4、C2F6、C3F8、及びCHF3から成る群から選択される、
    ことを特徴とする請求項8または9記載の方法。
  11. 前記フッ素含有ガスはNF3である、
    ことを特徴とする請求項8または9記載の方法。
  12. 前記フッ素プラズマはCVD反応チャンバの外部で生成される、
    ことを特徴とする請求項8または9記載の方法。
  13. 前記炭素含有膜は、Si、C、O及びHから成る、
    ことを特徴とする請求項1記載の方法。
  14. 前記炭素含有膜は、Si、C及びHから成る、
    ことを特徴とする請求項1記載の方法。
  15. 前記炭素含有膜は、Si、C、N及びHから成る、
    ことを特徴とする請求項1記載の方法。
  16. 前記活性酸素種はガスから生成された酸素プラズマの生成物であり、当該ガスは、
    O2、CO2、NO2、N2O、H2O、H2O2及びO3から成る群から選択される酸素含有ガスと、
    He、Ar、Ne、Kr、及びXeから成る群から選択される不活性ガス及び/またはN2と、
    を含む、
    ことを特徴とする請求項1記載の方法。
  17. 前記活性酸素種はガスから生成された酸素プラズマの生成物であり、当該ガスは、
    O2、CO2、NO2、N2O、H2O、H2O2及びO3から成る群から選択される酸素含有ガスを含む、
    ことを特徴とする請求項1記載の方法。
  18. 前記ガスはさらにNF3を含む、
    ことを特徴とする請求項16または17記載の方法。
JP2003312924A 2002-09-04 2003-09-04 半導体基板上への成膜方法 Expired - Lifetime JP4439860B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/235,217 US6767836B2 (en) 2002-09-04 2002-09-04 Method of cleaning a CVD reaction chamber using an active oxygen species

Publications (2)

Publication Number Publication Date
JP2004134766A JP2004134766A (ja) 2004-04-30
JP4439860B2 true JP4439860B2 (ja) 2010-03-24

Family

ID=31977534

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003312924A Expired - Lifetime JP4439860B2 (ja) 2002-09-04 2003-09-04 半導体基板上への成膜方法

Country Status (4)

Country Link
US (1) US6767836B2 (ja)
EP (1) EP1452625A3 (ja)
JP (1) JP4439860B2 (ja)
KR (1) KR20040021533A (ja)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6875674B2 (en) * 2000-07-10 2005-04-05 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device with fluorine concentration
US20030005943A1 (en) * 2001-05-04 2003-01-09 Lam Research Corporation High pressure wafer-less auto clean for etch applications
US20030110781A1 (en) 2001-09-13 2003-06-19 Zbigniew Zurecki Apparatus and method of cryogenic cooling for high-energy cutting operations
US20030145694A1 (en) 2002-02-04 2003-08-07 Zbigniew Zurecki Apparatus and method for machining of hard metals with reduced detrimental white layer effect
US7071129B2 (en) * 2002-09-12 2006-07-04 Intel Corporation Enhancing adhesion of silicon nitride films to carbon-containing oxide films
US6932092B2 (en) * 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US7091133B2 (en) * 2003-01-27 2006-08-15 Asm Japan K.K. Two-step formation of etch stop layer
JP2005033173A (ja) * 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US6924239B2 (en) * 2003-10-14 2005-08-02 Texas Instruments Incorporated Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation
US7419498B2 (en) * 2003-10-21 2008-09-02 Nmt Medical, Inc. Quick release knot attachment system
JP2005142198A (ja) * 2003-11-04 2005-06-02 Taiyo Nippon Sanso Corp クリーニングガス及びクリーニング方法
US7354631B2 (en) * 2003-11-06 2008-04-08 Micron Technology, Inc. Chemical vapor deposition apparatus and methods
KR100854809B1 (ko) * 2003-11-11 2008-08-27 도쿄엘렉트론가부시키가이샤 기판 처리 방법과, 프로그램을 기록한 기억 매체
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7207339B2 (en) * 2003-12-17 2007-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning a plasma enhanced CVD chamber
US20050155625A1 (en) * 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
FR2866470B1 (fr) * 2004-02-18 2006-07-21 Atmel Nantes Sa Procede pour la fabrication de circuits integres et dispositif correspondant.
JP4836780B2 (ja) * 2004-02-19 2011-12-14 東京エレクトロン株式会社 基板処理装置における処理室のクリーニング方法およびクリーニングの終点検出方法
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
US7513121B2 (en) 2004-03-25 2009-04-07 Air Products And Chemicals, Inc. Apparatus and method for improving work surface during forming and shaping of materials
US20070286965A1 (en) * 2006-06-08 2007-12-13 Martin Jay Seamons Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon
CN100461344C (zh) * 2004-07-23 2009-02-11 气体产品与化学公司 从基板上清除含碳的残余物的方法
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US20060054183A1 (en) * 2004-08-27 2006-03-16 Thomas Nowak Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US7291286B2 (en) * 2004-12-23 2007-11-06 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US20060144819A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
US20060144820A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
US20070006893A1 (en) * 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
RU2008108012A (ru) * 2005-08-02 2009-09-10 Массачусетс Инститьют Оф Текнолоджи (Us) Способ применения nf3 для удаления поверхностных отложений
KR20080050401A (ko) * 2005-08-02 2008-06-05 매사추세츠 인스티튜트 오브 테크놀로지 Cvd/pecvd-플라즈마 챔버 내부의 표면 퇴적물을제거하기 위하여 플루오르화황을 이용한 원격 챔버 방법
US7434439B2 (en) 2005-10-14 2008-10-14 Air Products And Chemicals, Inc. Cryofluid assisted forming method
US7390240B2 (en) 2005-10-14 2008-06-24 Air Products And Chemicals, Inc. Method of shaping and forming work materials
KR100727259B1 (ko) * 2005-12-29 2007-06-11 동부일렉트로닉스 주식회사 반도체 장치의 배선 형성방법
US8057603B2 (en) * 2006-02-13 2011-11-15 Tokyo Electron Limited Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US20070248767A1 (en) * 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
JP2010503977A (ja) 2006-04-26 2010-02-04 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド 半導体処理システムの洗浄方法
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
US7550090B2 (en) * 2007-01-23 2009-06-23 Applied Materials, Inc. Oxygen plasma clean to remove carbon species deposited on a glass dome surface
TWI424105B (zh) * 2007-05-14 2014-01-21 Tokyo Electron Ltd 成膜裝置及使用其之方法
US20080299775A1 (en) * 2007-06-04 2008-12-04 Applied Materials, Inc. Gapfill extension of hdp-cvd integrated process modulation sio2 process
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
US7867921B2 (en) * 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US20090114245A1 (en) * 2007-11-02 2009-05-07 Hidehiro Kojiri In-situ chamber cleaning method
EP2248153B1 (en) 2008-02-11 2016-09-21 Entegris, Inc. Ion source cleaning in semiconductor processing systems
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
JP2010047818A (ja) * 2008-08-25 2010-03-04 Toshiba Corp 半導体製造装置および半導体製造方法
US8404135B2 (en) * 2008-08-26 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma cleaning for process chamber component refurbishment
US20100104770A1 (en) * 2008-10-27 2010-04-29 Asm Japan K.K. Two-step formation of hydrocarbon-based polymer film
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
WO2011051410A1 (en) * 2009-10-30 2011-05-05 Solvay Fluor Gmbh Method for removing deposits
JP5421736B2 (ja) * 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US20130133697A1 (en) * 2011-06-29 2013-05-30 Paul A. STOCKMAN Prevention of post-pecvd vacuum and abatement system fouling using a fluorine containing cleaning gas chamber
DE102011056538A1 (de) * 2011-12-16 2013-06-20 Aixtron Se Verfahren zum Entfernen unerwünschter Rückstände aus einem MOCVD-Reaktor sowie zugehörige Vorrichtung
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9601319B1 (en) * 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
CN106756765B (zh) * 2016-11-30 2019-06-25 东北大学 一种金属材料表面含氟抗菌涂层及其制备方法
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
CN112601723B (zh) * 2018-08-31 2023-08-08 科思创(荷兰)有限公司 可辐射固化树脂组合物
CN111112267B (zh) * 2019-12-24 2022-09-02 西安奕斯伟材料科技有限公司 一种气相沉积反应腔体的清洗装置、清洗系统及清洗方法
CN111599706A (zh) * 2020-05-26 2020-08-28 上海华虹宏力半导体制造有限公司 在线侦测腔体漏率的方法、半导体工艺机台
US20220178017A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Cfx layer to protect aluminum surface from over-oxidation

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03183125A (ja) * 1983-09-22 1991-08-09 Semiconductor Energy Lab Co Ltd プラズマ気相反応方法
JPS61250185A (ja) * 1985-04-25 1986-11-07 Anelva Corp 真空処理装置のクリ−ニング方法
JPS63210275A (ja) * 1987-02-24 1988-08-31 Semiconductor Energy Lab Co Ltd プラズマ反応装置内を清浄にする方法
US5176791A (en) * 1988-08-11 1993-01-05 Semiconductor Energy Laboratory Co., Ltd. Method for forming carbonaceous films
DE4202158C1 (ja) * 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
US5417826A (en) * 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
KR100293830B1 (ko) * 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3175117B2 (ja) * 1993-05-24 2001-06-11 東京エレクトロン株式会社 ドライクリーニング方法
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US6026762A (en) 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
US6077572A (en) * 1997-06-18 2000-06-20 Northeastern University Method of coating edges with diamond-like carbon
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
SG101418A1 (en) * 1999-03-30 2004-01-30 Showa Denko Kk Production process for magnetic recording medium
JP3072989B1 (ja) 1999-05-14 2000-08-07 日本エー・エス・エム株式会社 半導体基板上に薄膜を形成する成膜装置における成膜方法
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6569257B1 (en) * 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
JP2002198370A (ja) * 2000-12-26 2002-07-12 Mitsubishi Electric Corp 半導体装置および半導体装置の製造方法

Also Published As

Publication number Publication date
KR20040021533A (ko) 2004-03-10
EP1452625A3 (en) 2008-08-06
US20040043626A1 (en) 2004-03-04
US6767836B2 (en) 2004-07-27
EP1452625A2 (en) 2004-09-01
JP2004134766A (ja) 2004-04-30

Similar Documents

Publication Publication Date Title
JP4439860B2 (ja) 半導体基板上への成膜方法
US9847221B1 (en) Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
JP4889138B2 (ja) 処理チャンバのための遠隔式プラズマクリーニング方法
US6569257B1 (en) Method for cleaning a process chamber
US7780865B2 (en) Method to improve the step coverage and pattern loading for dielectric films
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
KR102012532B1 (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
US20050178333A1 (en) System and method of CVD chamber cleaning
TWI424498B (zh) 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
US7435684B1 (en) Resolving of fluorine loading effect in the vacuum chamber
US20170062218A1 (en) Methods to improve in-film particle performance of amorphous boron-carbon hardmask process in pecvd system
US20160181116A1 (en) Selective nitride etch
JP7314293B2 (ja) リソグラフィ応用のための膜積層体
US20070207275A1 (en) Enhancement of remote plasma source clean for dielectric films
US20100184302A1 (en) Method of Forming Conformal Dielectric Film Having Si-N Bonds by PECVD
JPH1187341A (ja) 成膜方法及び成膜装置
KR20140004579A (ko) 높은 선택도에 의한 폴리실리콘 및 자연 산화물의 제거
TW201441408A (zh) 包含氮化矽之膜的電漿輔助原子層沉積
KR19990072395A (ko) 저유전율불소화비정질탄소유전체및그제조방법
US20050133059A1 (en) Method for cleaning a plasma enhanced CVD chamber
KR20010032480A (ko) 절연막의 제조 방법
JP2004363558A (ja) 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法
US11447865B2 (en) Deposition of low-κ films
TW202125627A (zh) 形成氣隙的系統及方法
US20230253186A1 (en) Peald titanium nitride with direct microwave plasma

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060802

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090512

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090630

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100105

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100106

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130115

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4439860

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130115

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term