KR19990072395A - 저유전율불소화비정질탄소유전체및그제조방법 - Google Patents

저유전율불소화비정질탄소유전체및그제조방법 Download PDF

Info

Publication number
KR19990072395A
KR19990072395A KR1019990003534A KR19990003534A KR19990072395A KR 19990072395 A KR19990072395 A KR 19990072395A KR 1019990003534 A KR1019990003534 A KR 1019990003534A KR 19990003534 A KR19990003534 A KR 19990003534A KR 19990072395 A KR19990072395 A KR 19990072395A
Authority
KR
South Korea
Prior art keywords
chamber
substrate
plasma
silane
pecvd
Prior art date
Application number
KR1019990003534A
Other languages
English (en)
Other versions
KR100283007B1 (ko
Inventor
양홍닝
누옌투에
Original Assignee
마찌다 가쯔히꼬
샤프 가부시키가이샤
존 엠. 매닝
샤프 마이크로일렉트로닉스 테크놀러지 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마찌다 가쯔히꼬, 샤프 가부시키가이샤, 존 엠. 매닝, 샤프 마이크로일렉트로닉스 테크놀러지 인코포레이티드 filed Critical 마찌다 가쯔히꼬
Publication of KR19990072395A publication Critical patent/KR19990072395A/ko
Application granted granted Critical
Publication of KR100283007B1 publication Critical patent/KR100283007B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 낮은 k의 배선 유전체 재료를 제공하기 위해 IC 웨이퍼 상에 불소화 비정질 탄소(a-F:C)막을 퇴적시키는 프로세스를 제공한다. PECVD 챔버에서 실행되는 프로세스는 a-F:C 막을 퇴적시키기 위해 사용되는 C4H8및 CH4의 혼합 가스에 실란 가스(SiH4)를 도입한다. 실란은 퇴적막의 불소 에칭제를 감소시키고 또 퇴적 생성물의 가교가 개선되는 것을 도와준다. 본 발명에 따라 제조된 막은 약 2.4 이하의 낮은 k 및 약 440℃ 이상의 높은 열안정성을 갖고, 비교적 고온에서 열어닐링을 할 수 있다.

Description

저유전율 불소화 비정질 탄소 유전체 및 그 제조 방법{LOW-K FLUORINATED AMORPHOUS CARBON DIELECTRIC AND METHOD OF MAKING THE SAME}
본 발명은 집적 회로의 배선 구조체에서 사용하는 형태의 층간 유전체를 형성하는 방법, 보다 상세하게는 저유전율 절연 재료를 형성하는 플라즈마 화학 증착법에 관한 것이다.
대규모 집적 회로의 설계자 및 제작자는 속도 및 장치 밀집도를 높일 수 있는 소형의 장치를 계속 제작해 왔다. 초대규모 집적(ULSI) 회로의 각 부분의 크기(예컨대, 트랜지스터 게이트 길이)는 0.25 미크론(㎛) 보다 작다. 이러한 반도체 칩의 밀집도 증가, 및 이와 관련한 기능성 향상은 각 칩에 대한 배선 수 및 밀도를 현저히 증대시켜 왔다.
기능성 및 복잡성의 증가와 함께 보다 밀집해진 소형의 온-칩 장치는 보다 작고, 보다 복잡하고(예컨대, 보다 많은 배선 수준), 또 보다 밀집한 배선(라인, 바이어스 등)을 필요로 한다. 저항을 증가시키는 보다 작은 배선의 크기, 및 보다 밀집한 배선 간격은 전파 지연 및 층간 및 층내 도체간의 누설 잡음을 포함하는 RC(저항-용량) 결합의 문제를 발생시킨다.
층간 및 층내 배선 라인이 보다 작아지고 또 간격이 보다 좁아지면, RC 지연은 전체의 신호 지연의 상당 부분이 되고, 장치의 소형화로부터 유도된 속도의 이점을 상쇄하게 된다. 따라서, RC 지연은 장치 성능의 개선을 제한한다. 도체의 크기가 작아지면, 금속 라인의 저항률(R)이 증대하고 또 라인 간 및 층간의 간격이 좁아지면, 라인 간의 용량(C)이 증대한다. 구리와 같이 비교적 저항률이 작은 금속을 사용하고 또 개발함으로써, 배선 라인의 저항률을 더욱 저하시킨다. 보다 낮은(즉, 낮은 k) 유전율을 갖는 유전 재료를 사용함으로써, 용량을 감소시킬 수 있다.
용량(C)은 층간 유전체의 유전율(k)에 직접 비례하기 때문에, 저유전율(낮은 k) 재료를 층내 및 층간 도체간 및 이들의 주위에 절연 재료로서 사용하면, ULSI 회로에 의해 제시된 RC의 문제를 감소시킬 수 있다(본 명세서에서 유전체는 "배선 유전체" 또는 "배선 절연 재료"라 함). 본 산업이 추구하는 바는 집적 회로의 유전체로서 종래 사용해온 이산화규소(SiO2)에 대한 적절한 대체 재료이다. 이산화규소는 우수한 열안정성 및 유전율 약 4.0인 비교적 양호한 유전 특성을 갖는다. 그러나, 현재 IC회로 배선에서 사용하기에 적합하고 또 SiO2에 비해 저유전율을 갖는 배선 유전체 재료가 필요하다.
ULSI 회로에서 배선 유전체로서 사용할 저유전율 재료를 장기간 탐색한 결과, 용도에 따른 후보 재료가 소수로 좁혀졌다. 최근 활발하게 연구되고 또 상당한 주목을 받고 있는 유망한 재료 중 하나는 불소화 비정질 탄소(a-F:C)이다.
불소화 탄소 중합체는 20년 이상 동안 연구되었고 또 대부분의 이들의 용도는 플라스틱, 섬유, 및 금속을 보호하는 도포 재료이다. a-F:C 막은 플라즈마 CVD("PECVD")를 사용하여 제조할 수 있다는 것이 공지되어 있다. a-F:C를 사용한 초기의 실험에서, 상온에서 퇴적한 막은 유전율이 2.1 정도로 낮고 또 300℃ 미만의 열안정성으로 퇴적시킬 수 있다. 다른 실험에서 a-F:C 막을 보다 높은 기판 온도에서 퇴적시키면, 열안정성이 400℃까지 개선되었지만, 유전율은 약 2.5 이상으로 증가하였다.
하기 표는 탄소족 중 몇몇 종의 유전율 및 열안정성을 a-F:C와 비교한 것을 나타내고 있다. 하기 표는 탄소막이 보다 높은 불소 농도를 함유하면, 유전율이 낮아질 수 있다는 것을 보여주고 있다. PECVD 법에서, a-F:C 막의 불소 농도는 방출물 중의 불소 대 탄소 비에 따라 다르며, 이는 공급 가스 조성물, RF 전력 투입량, 기판 온도, 및 총 압력에 의해 결정된다. 열안정성은 중합체 사슬간의 가교도와 밀접한 관계가 있다. 가교도가 높을수록, 구조체는 보다 강하게 결합하고, 열안정성은 높아진다. PECVD 법에서, 기판 온도를 상승시키고, 이온 충격을 강화시키거나, 또는 저주파 플라즈마 에너지를 인가하면, a-F:C 막의 가교를 증가시킬 수 있다. 고온 퇴적은 필연적으로 불소 농도를 낮춤으로써, 유전율이 증가하게 되는 결점이 있다.
재료 화학 조성 구조 k 열안정성
다이아몬드 C 결정, 완전 가교된 구조 5 이상 매우 높음
수소화 탄소(a-H:C) 또는2 다이아몬드형 탄소(DLC) C 및 HH: 30 내지 50% 비정질 중합체, 크게 가교된 구조 2.7 내지 3.8 350 내지 400℃
불소화 비정질 탄소(a-F:C) C 및 FF: 40 내지 50% 비정질 중합체, 크게 가교된 구조 2.1 내지 2.8 300 내지 420℃
PTFE 또는 테프론 C 및 FF: 67% (-CF2-) 중합체, 비가교된 구조 2.0 < 300℃
낮은 퇴적 온도의 프로세스와 비교하면, 높은 퇴적 온도 프로세스의 결점은 유전율을 증가시킬 뿐 아니라, 높아진 열응력에 의해 SiO2및 Si3N4에 대한부착이 불량해지고 또 막의 누설 전류가 더 높아지게 된다는 것이다. 낮은 퇴적 온도가 바람직하게 보인다.
불소화 비정질 탄소는 3.0 이하의 유전율 k를 갖고, 막의 불소(F)의 비율에 따라 2.0 내지 2.5 범위의 k를 갖을 수 있다. a-F:C가 갖는 주요 문제는 열안정성이 불량하다는 것이다. 종래에는, 적절한 저유전율 특성(k가 2.5 미만) 및 400℃ 이상의 열안정성을 갖는 a-F:C막을 조작할 수 없었다. ULSI 칩을 제조하기 위한 전형적인 소결 범위의 온도(450℃)에서, 추측컨대 불소의 휘발성에 의한 a-F:C 막의 과잉 수축이 발생하였다. 고밀도 집적 회로의 배선 유전체로서 a-F:C를 사용하는데 있어 기계적 강도 및 부착 강도의 문제가 장애가 된다.
집적 회로의 배선 구조체, 또는 본 명세서에서 "배선 유전체"로 언급하는 것에 사용된 저유전율(k= 3.0 이하) 및 450℃까지 개선된 열안정성을 갖는 유전체 재료를 갖음으로써, 이산화규소 유전체를 대신하는 적절한 낮은 k의 대체 유전체를 제공하는 것이 유익하다.
또한, 2.5 이하의 유전율을 갖고 450℃까지 열안정성이 있는 a-F:C 막을 갖는 것이 유익하다.
또한, 반도체 기판상에 낮은 k의 a-F:C 유전체 재료를 퇴적시키고, 이때 생성된 a-F:C가 실질적으로 450℃까지 안정한, 플라즈마 화학 증착(PECVD) 기술을 사용하여 실리콘 기판상에 a-F:C막을 형성하는 방법을 갖는 것이 유익하다.
낮은 k의 배선 유전체 재료를 제공하기 위해 IC 웨이퍼 상에 불소화 비정질 탄소(a-F:C)막을 퇴적시키는 프로세스를 제공하는 것이 본 발명의 과제이다.
도1은 본 발명의 프로세스에서 선택된 공정을 실행하기 위한 PECVD 챔버의 개략도.
도2는 본 발명에 따라, 도1에 도시한 바와 같은 PECVD 챔버에서 불소화 비정질 탄소를 기판상에 퇴적시키기 위한 프로세스에서 공정을 도시한 블록선도.
도3은 PECVD 챔버에서 불소화 비정질 탄소를 기판상에 퇴적시키기 위한 프로세스에서, 최종 어닐링까지 프로세스를 수행하는 바람직한 실시형태를 도시한 블록선도.
따라서, 본 발명은 집적 회로의 배선 구조체에 사용하기 위해 유전체 재료를 기판상에 퇴적하는 플라즈마 화학 증착(PECVD) 프로세스를 제공한다. 상기 방법은 기판을 PECVD 챔버에 배치하고 또 그 기판을 200℃이상의 온도까지 가열하는 것을 포함하는 공정을 포함한다. 불소 함유 가스(FCG) 및 탄소 함유 가스(CCG)의 흐름을 챔버내에서 불소 및 탄소 가스 플라즈마를 형성하기 위한 충분한 인가 에너지 하에서 챔버내로 도입한다. 기판상에 불소화 비정질 탄소를 퇴적시키기 위한 FCG 대 CCG의 비를 선정한다. FCG 및 CCG를 챔버로 도입함과 동시에, 실란(SiH4)의 흐름을 챔버로 도입한다. 실란은 기판상에 퇴적된 불소화 비정질 탄소의 열안정성을 증대시킨다.
본 발명의 프로세스에서 사용하는 바람직한 불소 함유 가스(FCG)는 옥타플루오로시클로부탄(C4F8)이다. 본 프로세스에서 사용하는 바람직한 탄소 함유 가스(CCG)는 메탄(CH4)이다. 기판상에 불소화 비정질 탄소를 퇴적시키기 위한 FCG 대 CCG의 적절한 비는 약 1/1 내지 30/1(FCG/CCG)이고, 보다 바람직하게는 약 5/1 내지 15/1 이다. PECVD 챔버내로 도입된 FCG, CCG 및 실란 가스의 혼합물에서 실란 가스의 퍼센트는 바람직하게는 통상 1 내지 15%이다. FCG, CCG 및 실란을 챔버내로 도입하는 중에, PECVD 챔버의 유지되는 주위 압력은 바람직하게는 통상 0.3 내지 2.0 토르이다.
PECVD 챔버로 FCG, CCG 및 실란을 도입하는 중에, 고주파(HF) 플라즈마 에너지 형태인 플라즈마 에너지를 공급하여 챔버로 도입된 가스를 이온화시킨다. 동시에, 저주파(LF) 에너지를 챔버로 도입하여, 퇴적된 a-F:C 막에서 가교를 증가시킨다. HF 에너지는 주파수 13.56 MHz를 갖고 또 바람직하게는 기판 표면의 평방 센티미터 당 0.5 내지 3.0 와트의 에너지 수준으로 인가한다. 동시에, LF는 약 100 내지 900 kHz의 범위의 주파수, 및 바람직하게는 기판 표면의 평방 센티미터 당 약 0.5 내지 3.0 와트 범위의 저주파 에너지 수준으로 공급된다.
기판상에 선택된 두께의 불소화 비정질 탄소를 퇴적시킬 정도로 충분한 소요 시간 동안 상술한 프로세스를 실시한다. 본 발명은 특정한 두께 범위를 한정하지 않지만, 본 발명을 사용하여 퇴적한 a-F:C 막에 대한 적절히 선택된 두께는 약 1,000 내지 10,000 옹스트롬의 범위이다. 기판상에 불소화 비정질 탄소의 선택된 두께의 퇴적을 종결한 후, 기판 및 퇴적된 불소화 비정질 탄소를 어닐링한다. 본 프로세스가 300 내지 550℃에서 어닐링할 수 있지만, 본 발명은 440℃ 이상의 온도에서 어닐링할 수 있다. 어닐링의 소요 시간은 설계 선택의 문제이지만, 약 20분을 초과하고 또 제작된 집적 회로의 설계 및 성능 규격에 따라 2시간 이상일 수 있다.
실시 형태
본 발명은 불소화 비정질 탄소(a-F:C)를 실리콘 웨이퍼 또는 다른 기판상에 퇴적시키기 위한 프로세스를 제공한다. 이 방법의 공정을 실행할 때, 집적 회로(IC) 부품(예컨대, 트랜지스터 및 다른 활성 장치 및 수동 장치)을 웨이퍼 상에 제조하는 주지의 기술(도시 안됨)에 의해 웨이퍼 기판을 가공한다. 기판 상의 집적 회로 부품의 종류 및 수는 본 발명의 프로세스에서는 중요하지 않으며, 단 가장 유리하게는 낮은 k 불소화 비정질 탄소 유전체 재료를 초대규모 집적(ULSI) 고밀도 IC 상에 사용한다. 유전체 재료는 전형적으로 웨이퍼 상에 퇴적된 배선 유전체 막에 형성된 막을 통해 연장하는 주지의 도전성 배선 부품인 도전성 라인 및 바이어스(도시 안됨)와 같은 배선 구조체로 사용하며, 본 발명의 방법으로 퇴적시킨 a-F:C 유전체를 포함한다. 배선 구조체로 사용된 형태, 구조, 및 도전성 재료 뿐만 아니라, 이러한 구조체를 형성하는 방법은 본 명세서에 기재되어 있지 않으며 당업자에게 있어 공지된 설계 선택의 문제이다. 본 발명은 웨이퍼 상에 퇴적되고, 도전 라인, 바이어스 및 다른 ULSI 및 동일한 IC 내의 다른 도체 사이 및 이들 주위에 사용하기 적합한 저유전율(낮은 k) 유전막을 형성하는 방법에 관한 것이다.
도1은 웨이퍼(12)와 같은 기판상에 플라즈마 화학 증착(PECVD)을 실행하기 위한 적절한 장치(10)의 개략도이다. 장치(10)는 1개 이상의 웨이퍼(12)를 보지하기에 적절한 크기의 PECVD 챔버(16)를 포함하며, 이들은 척(20) 상의 챔버에서 지지된다. 이러한 챔버의 전형으로서, 내부(22)는 도1에서 펌프(26)으로 개략적으로 도시한 적절한 펌프 및 밸브 장치에 의해 소망하는 만큼 진공화 또는 가압할 수 있다. 개개의 웨이퍼(12)는 적절한 웨이퍼 조작 장치(30)에 의해 챔버 벽에 있는 게이트 밸브(32)를 통해 챔버(16)의 내외로 움직임으로써, 웨이퍼를 가공하기 위해 척(20)상으로 이동시킨 다음, 챔버로부터 제거할 수 있다.
PECVD 프로세스에 사용하는 선택된 가스를 밸브(42)로 조절하여 전체적으로 (40)으로 나타낸 다양한 가스 공급 저장부로부터 적절한 다기관계(36)를 통해 챔버내로 도입한다. 필요에 따라 가스를 배분하는 소위 샤워 헤드(46)를 통해 챔버내로 가스를 도입한다. 척(20)은 소망하는 온도까지 가열할 수 있으며, 이를 위한 가열 장치는 가열기(50)로 개략적으로 도시되어 있다. 가열기 및 척은 PECVD 프로세스 중에 웨이퍼(12)의 온도를 선택하기 위해 사용한다.
플라즈마 에너지는 샤워 헤드(46)를 통해 방사된 고주파(HF) RF 전력을 공급하는 RF 발생기(52)를 통해 챔버로 공급한다. 본 발명은 정확한 고주파 값으로 한정하고 있지는 않지만, PECVD 챔버내에서 사용하는 HF 플라즈마 에너지에 대한 업계 기준은 13.56 메가헤르쯔(MHz)이다. 또한, 장치(10)는 바람직하게는 LF 전력을 챔버 내부로 공급하는 저주파(LF) 발생기(56)를 포함한다. LF 전력를 당업자에게는 주지된 방식으로, 척(20) 및 샤워 헤드(46)의 사이에 인가한다. LF 전력은 PECVD 프로세스 중에 웨이퍼(12)상에 퇴적된 불소화 비정질 탄소(a-F:C) 막의 가교를 강하게 하기 위해 사용된다.
도2는 본 발명의 프로세스의 공정을 설명하며, 이것은 도1 및 2를 참조로 하여 기재될 것이다. 먼저, 웨이퍼 조작 장치(30)에 의해 웨이퍼 기판(12)을 PECVD 챔버(16)의 척(20)상에 설치한다. 기판(12)은 전형적으로 웨이퍼의 상부 표면(58)에 퇴적한 a-F:C를 수용하여 제조된 실리콘 웨이퍼이다. 도2에 도시한 제1공정은 공정(70)이고, 이는 기판(12)을 200℃ 이상으로 가열하는 공정이다. 바람직하게는, 웨이퍼(12)를 약 200 내지 300℃의 온도로 가열한다.
다음 공정(76)은 불소 함유 가스(FCG) 및 탄소 함유 가스(CCG)의 흐름을 적절한 공급기(40)로부터 다기관(36)을 통해 챔버(16)로 도입하는 공정이다. 본 발명의 프로세스에서, 바람직한 FCG는 옥타플루오로시클로부탄(C4F8)이고 또 바람직한 CCG는 메탄(CH4)이다. 플라즈마 화학 증착에 의해 기판(12)상에 a-F:C를 퇴적하기 위해 챔버(16)로 도입된 FCG 및 CCG의 비율을 선택한다. 제시된 비율은 1/1 내지 30/1(FCG/CCG)이고, 바람직하게는 5/1 내지 15/1이다. 공정(76) 중에, 적절한 플라즈마 전력을 챔버(16)에 인가한다(공정 78). 본 발명에서, 적절한 플라즈마 전력은 에너지 수준이 기판 표면(즉, 기판(12)의 표면 영역)의 1 평방센티미터 당 0.5 내지 3.0 와트인 HF 에너지(13.56 MHz), 및 주파수가 약 100 내지 900 kHz이고 또 에너지 레벨이 기판 표면의 1 평방센티미터 당 0.5 내지 3.0 와트인 LF 에너지를 포함한다.
PECVD 프로세스의 당업자에게 공지인 바와 같이, 챔버(16)에서 플라즈마 에너지는 도입된 가스를 이온화하여, 웨이퍼(12)의 표면(58)상에 퇴적하는 중합체 라디칼을 발생시킨다. C4F8은 2 종류의 수명이 긴 라디칼을 방출한다. 1개는 불소화 탄소 라디칼(CFx)(이때, 1≤x≤2)이며, 이는 a-F:C 퇴적물에 대한 구축용 블록이다. 다른 1개는 F 및 F2원자이고, 이는 기판(12)상에 퇴적시킨 a-F:C 막을 약화시키는 휘발성 불화물을 형성하는 파괴성 에칭제이다. 메탄은 휘발성 HF를 형성하여 F 원자를 결합시킬 수 있는 수소(H) 라디칼을 방출하여 F 및 F2원자로부터의 에칭을 감소시켜, 웨이퍼 상에 퇴적 생성된 a-F:C 막의 안정성을 향상시킨다. a-F:C 막의 퇴적 속도 및 불소 농도는 FCG 및 CCG 가스의 유량 뿐만 아니라 챔버(16)내 챔버 압력에 의해 선별적으로 제어된다. 상술한 바와 같이, FCG 및 CCG의 비율은 약 1: 1 내지 30: 1이고, 바람직하게는 5: 1 내지 15: 1(FCG: CCG)이다. 공정(76) 및 (78) 중에, 챔버(16)내에서 유지되는 주위 압력은 바람직하게는 통상 0.3 내지 2.0 토르 사이이다.
본 발명은 공정(76) 및 (78) 중에, FCG 및 CCG 가스와 함께 실란(SiH4) 가스를 챔버(16)내로 도입하는 추가 공정(80)을 더 포함한다. 공정(80) 중에 챔버(16)내로 도입된 실란의 퍼센트는 바람직하게는 총 도입 가스(즉, FCG, CCG 및 실란)의 통상 1 내지 15%의 범위이다. 실란은 a-F:C 퇴적막의 열안정성을 개선하는 것으로 밝혀졌다.
PECVD 챔버내 반응은 다음과 같이 요약된다:
플라즈마 중합 화학 반응
· 전구체: C4F8+ CH4
· 플라즈마 방전에 의해 생성된 자유 라디칼
· CH4의 부가 → (CFx)n퇴적물에 대한 불소 에칭 억제:
· SiH4의 부가 → (CFx)n퇴적물에 대한 불소 에칭 억제
본 발명의 생성물(86)인 웨이퍼(12)상에 퇴적시킨 불소화 비정질 탄소는 실란 가스를 사용하지 않고 퇴적한 a-F:C 막에 비해 저유전율 및 높은 열안정성을 갖는 것으로 밝혀졌다. 열안정성은 일반적으로 IC 웨이퍼상의 층간 배선의 완성 후에 실행시킨 고온 어닐링 중에 퇴적시킨 a-F:C 막의 수축율이 극소치 내지 0(예컨대, 수축율 1% 미만)으로 정의된다. IC 웨이퍼의 제조에서, 제조된 웨이퍼를 440℃ 이상의 온도에서 최저 약 20분, 및 바람직하게는 30분 내지 수시간 동안 어닐링하는 것이 유리하다. 어닐링은 일반적으로 웨이퍼 상의 장치에 대한 완성 공정의 일부이다. a-F:C 유전체를 IC 웨이퍼 상에 사용할 때 중요한 하나의 문제는 350 내지 440℃ 이상에서 어닐링하는 동안 열안정성이 불량하였다. 선행기술 공정을 사용하여 퇴적시킨 불소화 비정질 탄소막을 고온 어닐링(440℃+)을 실시하면, 예컨대 5 내지 20% 또는 그 이상의 소망하지 않는 수축을 보였다. 실란을 사용하지 않는 선행 기술의 PECVD 불소화 비정질 탄소 퇴적 공정은 막 중의 불소 함유량을 감소시킴으로써 허용 수축율(405 내지 425℃까지의 최종 어닐링 온도가 가능한 정도까지)과 함께 퇴적막의 열안정성을 약간 개선할 수 있었다. 막 내의 낮은 F 수준은 유전율(k)은 약 2.6 + 까지 높이는 경향이 있다.
본 발명은 낮은 k(k= 2.25 내지 2.5)와 함께 양호한 열안정성(440 내지 465℃까지의 최종 어닐링에서 수축율이 극소 또는 0)을 갖는 a-F:C 막을 제공할 수 있다. 크게 개선된 열안정성은 예컨대 300 내지 550℃의 광범위한 어닐링 온도 범위를 제공한다. a-F:C 퇴적 중, 실란 가스를 PECVD 챔버로 도입하는 이점은 표제 "플라즈마 중합 화학 반응"에서, 상술한 최종 반응 세트에 요약한 바와 같이, 챔버 내의 F 및 F2에칭제와 결합시키기 위해 부가한 H 라디칼을 제공함으로써, 불소 라디칼의 감소를 도와주는 것으로 생각된다. 어떠한 프로세스에 의하든, 상술한 특정 퍼센트의 실란을 도입하는 실험은 생성된 a-F:C막의 열안정성을 개선하고 또 유전율을 감소시킨다.
도3은 본 발명의 프로세스의 예시적인 실시 형태를 도시하고 또 본 프로세스를 보다 상세하게 설명한다. 도1 및 3을 참조로 하여, 공정(100)에서 웨이퍼(12)는 챔버(16)의 척(20)상에 설치되고, 약 200 내지 300℃의 온도까지 가열한다. 공정(102)에서, C4F8, CH4및 SiH4의 가스를 공급기(40), 밸브(42) 및 다기관(36)을 경유하여 챔버(16)로 도입한다. 샤워 헤드(46)를 통해 챔버(16)내로 가스를 분배한다. 공정(102) 중, 가스의 유량은 챔버(16)내 주위 압력을 약 0.3 및 2.0 토르의 범위내로 유지하기에 필요한 속도이다. 공정(102) 중, C4F8대 CH4의 비율(C4F8/CH4)은 1/1 내지 30/1 범위이고, 바람직하게는 5/1 내지 15/1의 범위이다. C4F8, CH4및 SiH43종의 도입 가스의 퍼센트로서, 공정(102)에 도입된 실란 가스의 퍼센트는 1 내지 15%의 SiH4의 범위이다.
공정(102) 중, C4F8, CH4및 SiH4의 유량은 PECVD 챔버(16)내 내부 체적 22 입방미터 당, 분 당 표준 입방센티미터(sccm)의 단위로 측정된 유량으로서 표현할 수 있다. 다음은 공정(102)을 실행하기 위해 적절한 유량(챔버 체적 m3당)이다:
입방미터 당 C4F83000 내지 10,000 sccm;
입방미터 당 CH4300 내지 1100 sccm; 및
입방미터 당 SiH4100 내지 550 sccm.
C4F8, CH4및 SiH4의 가스 도입 중, 챔버(16)에 HF 및 LF 플라즈마 에너지를 인가함으로써 공정(104)을 실행한다. HF 에너지는 시사된 표준 주파수인 13.56 MHz 및 기판 웨이퍼(12)의 표면 영역(58)의 평방센티미터 당 0.5 내지 3.0 와트의 에너지 수준이다. 동시에, LF 에너지는 약 주파수 100 내지 900 kHz, 및 기판 표면 1 평방미터 당 0.5 내지 3.0 와트의 에너지 수준으로 인가한다.
공정(102) 및 (104)는 웨이퍼(12)상에 a-F:C를 퇴적한다(공정(108)). 공정(108)에서 퇴적한 a-F:C 막의 적절한 두께는 약 1,000 내지 10,000 옹스트롬이다.
마지막으로, 웨이퍼(12)를 조작 장치(30)와 같은 적절한 수단으로 챔버(16)로부터 제거하고, 약 300 내지 550℃의 적절한 어닐링 오븐에서 어닐링한다(공정(110)). 공정은 440℃ 이상, 약 440 내지 465℃의 어닐링 온도에서 양호한 열안정성(즉, 약 1% 미만의 수축율)을 나타낸다.
이하, 본 발명의 프로세스의 특정한 실험 실시예이다:
실시예
OXFORD 플라즈마 랩 100 PECVD 계의 챔버에서, 6인치 웨이퍼(12)를 척(20)상에 설치하고, 250℃까지 가열하였다. C4F8, CH4및 SiH4가스의 흐름을 하기에 나타낸 유량으로 챔버내로 도입하였다:
C4F8: 137 sccm;
CH4: 15 sccm; 및
SiH4: 6.1 sccm.
HF 전력(13.56 MHz)을 전력 수준 200 와트로 인가하고, LF 전력(500 kHz)을 200 와트로 인가하였다. 챔버의 주위 압력은 약 0.4 토르로 유지하였다.
상술한 조건에서, a-F:C 퇴적 속도는 1,200 옹스트롬/분이었다. 4분 동안 퇴적을 실시하였다. 이후, 웨이퍼를 챔버로부터 제거하고, 450℃에서 30분 동안 최종 어닐링을 실시하였다.
얻은 a-F:C의 유전율 k는 약 2.3이었다.
본 발명은 PECVD 프로세스에 의해 형성된 a-F:C 퇴적막의 열안정성을 개량하고 또 유전율을 보다 낮게 하는 것으로 밝혀졌다. 본 발명의 범위내에서, 프로세스의 변형이 가능하다. 예컨대, 실험 실시예에서 특정한 퇴적 온도 및 가스의 비율은 단지 제시적인 것이다. 본 명세서에서 개시한 특정 범위내에서, 상업적 생산을 위해 사용되는 IC 제조 공정에서 사용 유량 및 온도를 최적화할 필요가 있다.
본 발명에 따라 제조되는 막은 상대적으로 낮은 k 및 높은 열안정성을 갖고, 보다 높은 온도에서 열어닐링이 가능하였다. 본 발명에 따른 불소화 비정질 탄소의 형성 방법은 고밀도 집적 회로의 배선 유전체를 형성하는 방법에 효과적으로 사용된다.

Claims (20)

  1. 집적 회로의 배선 구조체에 사용하기 위한 유전체 재료를 기판상에 퇴적시키는 플라즈마 화학 증착(PECVD) 방법에서,
    a) 기판을 PECVD 챔버내에 배치하고, 또 이 기판을 200℃ 이상의 온도까지 가열하는 공정;
    b) 불소 및 탄소 가스 플라즈마를 형성하기 위해 충분한 인가 에너지하에서 불소 함유 가스(FCG) 및 탄소 함유 가스(CCG)의 흐름을 챔버내로 도입하고, 기판상에 불소화 비정질 탄소를 퇴적시키기 위해 FCG 대 CCG의 비율을 선택하는 공정; 및
    c) 챔버내로 공정 b)의 FCG 및 CCG와 함께 SiH4(실란)의 흐름을 도입함으로써, 실란이 기판상에 퇴적된 불소화 비정질 탄소의 열안정성을 증대시키는 공정을 포함하는 플라즈마 화학 증착 방법.
  2. 제 1항에 있어서, 상기 공정 a)에서 상기 기판이 약 200 내지 300℃의 범위의 온도까지 가열되는 플라즈마 화학 증착 방법.
  3. 제 1항에 있어서, 상기 공정 b)에서 도입된 FCG가 C4F8인 플라즈마 화학 증착 방법.
  4. 제 3항에 있어서, 상기 공정 b)에서 도입된 CCG가 CH4인 플라즈마 화학 증착 방법.
  5. 제 4항에 있어서, C4F8, CH4및 실란의 도입 중, PECVD 챔버내에서 유지되는 주위 압력이 약 0.3 내지 2.0 토르의 범위에 있는 플라즈마 화학 증착 방법.
  6. 제 5항에 있어서, 공정 b) 및 c)에서, 상기 PECVD 챔버내로 도입되는 C4F8대 CH4의 비율(C4F8/CH4)이 약 1/1 내지 30/1인 플라즈마 화학 증착 방법.
  7. 제 5항에 있어서, 공정 b) 및 c)에서 상기 PECVD 챔버내로 도입되는 C4F8대 CH4의 비율(C4F8/CH4)이 약 5/1 내지 15/1인 플라즈마 화학 증착 방법.
  8. 제 6항에 있어서, 공정 b) 및 c)에서 상기 PECVD 챔버내로 도입되는 C4F8, CH4및 실란 가스 중의 실란의 퍼센트가 약 1 내지 15%의 범위에 있는 플라즈마 화학 증착 방법.
  9. 제 5항에 있어서, 공정 b) 및 c)에서 상기 PECVD 챔버내로 도입되는 C4F8, CH4및 실란 가스의 유량이 챔버 내부 체적의 cm3당 일반적으로 다음 범위에 있는 플라즈마 화학 증착 방법:
    m3당 C4F83000 내지 10,000 sccm;
    m3당 CH4300 내지 1100 sccm; 및
    m3당 실란 100 내지 550 sccm.
  10. 제 1항에 있어서, 챔버내에 가스 플라즈마를 형성하기 위해 상기 챔버내로 인가된 플라즈마 에너지가 주파수가 13.56 MHz이고 또 에너지 수준이 기판 표면의 평방센티미터 당 0.5 내지 3.0 와트인 고주파 에너지를 포함하는 플라즈마 화학 증착 방법.
  11. 제 10항에 있어서, 상기 챔버내에 인가된 상기 플라즈마 에너지가 주파수가 약 100 내지 900 kHz의 범위에 있고 에너지 수준이 기판 표면의 평방센티미터 당 0.5 내지 3.0 와트인 저주파 에너지를 더 포함하는 플라즈마 화학 증착 방법.
  12. 제 1항에 있어서, 불소화 비정질 탄소가 선택된 두께로 상기 기판상에 퇴적될 때까지 프로세스를 계속하는 공정을 포함하고, 또 약 300 내지 550℃의 범위의 온도에서 상기 기판을 어닐링하는 공정을 더 포함하는 플라즈마 화학 증착 방법.
  13. 집적 회로의 배선 구조체에 사용하기 위한 불소화 비정질 탄소 유전체를 퇴적시키는 플라즈마 화학 증착(PECVD) 방법에서,
    a) 기판을 PECVD 챔버내에 배치하고 또 기판을 200 내지 300℃의 온도까지 가열하는 공정;
    b) 옥타플루오로시클로부탄(C4F8), 메탄(CH4) 및 실란(SiH4)을 상기 챔버내로 도입하고, 도입 가스 중 실란의 퍼센트가 약 1 내지 15%의 범위에 있는 공정;
    c) 불소와 비정질 탄소를 기판상에 퇴적시키기 위한, x가 1 이상 및 2 이하인 CFx중합체 라디칼을 포함하는 가스 플라즈마를 형성하기 위해 플라즈마 에너지를 챔버내로 인가하는 공정; 및
    d) 불소화 비정질 탄소가 기판상에 선택된 두께로 퇴적될 때까지, 공정 b)에서 챔버 내부 압력을 약 0.3 내지 2.0 토르의 범위로 제공하기 위해 챔버내로 도입되는 옥타플루오로시클로부탄, 메탄 및 실란의 충분한 흐름을 유지하는 공정을 포함하는 플라즈마 화학 증착 방법.
  14. 제 13항에 있어서, 상기 챔버내로 인가되는 상기 플라즈마 에너지가 주파수가 13.56 MHz이고 또 에너지 수준이 기판 표면의 평방센티미터 당 0.5 내지 3.0 와트인 고주파 에너지를 더 포함하는 플라즈마 화학 증착 방법.
  15. 제 14항에 있어서, 상기 챔버내로 인가되는 플라즈마 에너지가 주파수가 약 100 내지 900 kHz의 범위에 있고 또 에너지 수준이 기판 표면의 평방센티미터 당 0.5 내지 3.0 와트인 저주파 에너지를 더 포함하는 플라즈마 화학 증착 방법.
  16. 제 13항에 있어서, 프로세스 중에 상기 PECVD 챔버로 도입되는 옥타플루오로시클로부탄 대 메탄의 비율(C4F8/CH4)이 약 1/1 내지 30/1인 플라즈마 화학 증착 방법.
  17. 제 16항에 있어서, 공정 b) 및 c)에서 상기 PECVD 챔버내로 도입되는 C4F8, CH4및 실란 가스 중의 실란의 퍼센트가 약 1 내지 15%인 플라즈마 화학 증착 방법.
  18. 제 13항에 있어서, 프로세스 중에 상기 PECVD 챔버내로 도입되는 옥타플루오로시클로부탄 대 메탄의 비율(C4F8/CH4)이 약 5/1 내지 15/1인 플라즈마 화학 증착 방법.
  19. 제 18항에 있어서, 공정 b) 및 c)에서 상기 PECVD 챔버내로 도입되는 C4F8, CH4및 실란 가스 중의 실란의 퍼센트가 약 1 내지 15%의 범위에 있는 플라즈마 화학 증착 방법.
  20. 제 13항에 있어서, 불소화 비정질 탄소가 선택된 두께로 상기 기판상에 퇴적될 때까지 프로세스를 계속하는 공정을 포함하고 또 440℃ 이상의 온도에서 상기 기판을 어닐링 하는 공정을 더 포함하는 플라즈마 화학 증착 방법.
KR1019990003534A 1998-02-13 1999-02-03 저유전율 불소화 비정질 탄소 유전체 및 그 제조 방법 KR100283007B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/023,382 US5900290A (en) 1998-02-13 1998-02-13 Method of making low-k fluorinated amorphous carbon dielectric
US9/023,382 1998-02-13

Publications (2)

Publication Number Publication Date
KR19990072395A true KR19990072395A (ko) 1999-09-27
KR100283007B1 KR100283007B1 (ko) 2001-02-15

Family

ID=21814764

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990003534A KR100283007B1 (ko) 1998-02-13 1999-02-03 저유전율 불소화 비정질 탄소 유전체 및 그 제조 방법

Country Status (6)

Country Link
US (1) US5900290A (ko)
EP (1) EP0936282B1 (ko)
JP (1) JPH11251308A (ko)
KR (1) KR100283007B1 (ko)
DE (1) DE69933598T2 (ko)
TW (1) TW414812B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100541541B1 (ko) * 1999-08-26 2006-01-12 삼성전자주식회사 플라즈마 증착장비의 프로세스 챔버
WO2023239133A1 (ko) * 2022-06-09 2023-12-14 충남대학교 산학협력단 고유전 비정질 불소화 탄소 박막, 이의 제조방법 및 이를 이용한 반도체 또는 커패시터 소자

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
WO1999027575A1 (fr) * 1997-11-20 1999-06-03 Tokyo Electron Limited Procede de formation d'un film par plasma
JP3429171B2 (ja) * 1997-11-20 2003-07-22 東京エレクトロン株式会社 プラズマ処理方法及び半導体デバイスの製造方法
JP3574734B2 (ja) * 1997-11-27 2004-10-06 東京エレクトロン株式会社 半導体デバイスの製造方法
US6147407A (en) * 1998-03-27 2000-11-14 Lucent Technologies Inc. Article comprising fluorinated amorphous carbon and process for fabricating article
US6184157B1 (en) * 1998-06-01 2001-02-06 Sharp Laboratories Of America, Inc. Stress-loaded film and method for same
SG81991A1 (en) * 1999-05-25 2001-07-24 Tokyo Electron Ltd Method for producing insulator film
KR100436829B1 (ko) * 1999-06-18 2004-06-23 닛신덴키 가부시키 가이샤 탄소막 및 그 형성방법, 탄소막 피복물품 및 그 제조방법
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1077477B1 (en) * 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
JP4140674B2 (ja) * 1999-09-27 2008-08-27 東京エレクトロン株式会社 多孔質アモルファス膜の観察方法及びその観察装置
US6303518B1 (en) 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
US6296906B1 (en) 1999-09-30 2001-10-02 Novellus Systems, Inc. Annealing process for low-k dielectric film
WO2001040537A1 (en) * 1999-11-30 2001-06-07 The Regents Of The University Of California Method for producing fluorinated diamond-like carbon films
US20020005539A1 (en) 2000-04-04 2002-01-17 John Whitman Spin coating for maximum fill characteristic yielding a planarized thin film surface
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6486078B1 (en) 2000-08-22 2002-11-26 Advanced Micro Devices, Inc. Super critical drying of low k materials
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
CA2441901A1 (en) * 2001-04-06 2002-10-17 Paul Apen Low dielectric constant materials and methods of preparation thereof
JP4758938B2 (ja) * 2001-08-30 2011-08-31 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US20040247896A1 (en) * 2001-12-31 2004-12-09 Paul Apen Organic compositions
AU2003299296A1 (en) * 2002-11-29 2004-06-23 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and device for machining a wafer, in addition to a wafer comprising a separation layer and a support layer
US20060166491A1 (en) * 2005-01-21 2006-07-27 Kensaku Ida Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
DE102005034764B4 (de) * 2005-07-26 2012-08-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Herstellung von funktionalen Fluor-Kohlenstoff-Polymerschichten mittels Plasmapolymerisation von Perfluorocycloalkanen und damit beschichtete Substrate
US7951616B2 (en) * 2006-03-28 2011-05-31 Lam Research Corporation Process for wafer temperature verification in etch tools
US8206996B2 (en) * 2006-03-28 2012-06-26 Lam Research Corporation Etch tool process indicator method and apparatus
KR101669470B1 (ko) 2009-10-14 2016-10-26 삼성전자주식회사 금속 실리사이드층을 포함하는 반도체 소자
US9520372B1 (en) 2015-07-20 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level package (WLP) and method for forming the same
US10453678B2 (en) * 2017-04-13 2019-10-22 Applied Materials, Inc. Method and apparatus for deposition of low-k films
KR20240037609A (ko) 2022-09-15 2024-03-22 충남대학교산학협력단 고유전 비정질 불소화 탄소 초박막층을 포함하는 반도체 구조물과 반도체 소자 및 그 제조방법
KR20240037612A (ko) 2022-09-15 2024-03-22 충남대학교산학협력단 고유전 비정질 불소화 탄소 박막을 이용한 커패시터, 그 제조방법 및 이를 이용한 반도체
KR20240037610A (ko) 2022-09-15 2024-03-22 충남대학교산학협력단 고유전 비정질 불소화 탄소 박막 게이트 유전층을 갖는 반도체 소자 및 그 제조방법

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62180073A (ja) * 1986-02-03 1987-08-07 Ricoh Co Ltd 非晶質炭素膜およびその製造方法
JP2962851B2 (ja) * 1990-04-26 1999-10-12 キヤノン株式会社 光受容部材
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
JP2737720B2 (ja) * 1995-10-12 1998-04-08 日本電気株式会社 薄膜形成方法及び装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100541541B1 (ko) * 1999-08-26 2006-01-12 삼성전자주식회사 플라즈마 증착장비의 프로세스 챔버
WO2023239133A1 (ko) * 2022-06-09 2023-12-14 충남대학교 산학협력단 고유전 비정질 불소화 탄소 박막, 이의 제조방법 및 이를 이용한 반도체 또는 커패시터 소자

Also Published As

Publication number Publication date
EP0936282A2 (en) 1999-08-18
KR100283007B1 (ko) 2001-02-15
TW414812B (en) 2000-12-11
US5900290A (en) 1999-05-04
EP0936282B1 (en) 2006-10-18
EP0936282A3 (en) 2001-06-27
DE69933598D1 (de) 2006-11-30
JPH11251308A (ja) 1999-09-17
DE69933598T2 (de) 2007-08-23

Similar Documents

Publication Publication Date Title
KR100283007B1 (ko) 저유전율 불소화 비정질 탄소 유전체 및 그 제조 방법
JP4090740B2 (ja) 集積回路の作製方法および集積回路
KR102570744B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
KR100437068B1 (ko) 탄소질 산화실리콘의 형성방법
US6991959B2 (en) Method of manufacturing silicon carbide film
US6919270B2 (en) Method of manufacturing silicon carbide film
US6593655B1 (en) Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
WO2010065410A1 (en) Dielectric barrier deposition using oxygen containing precursor
EP2251899A1 (en) Dielectric barrier deposition using nitrogen containing precursor
US20050048795A1 (en) Method for ultra low-K dielectric deposition
KR20040038606A (ko) 집적유전층 형성을 위한 방법
US6303519B1 (en) Method of making low K fluorinated silicon oxide
JP2004235637A (ja) エッチストップ層の2段階形成方法
KR20010021449A (ko) 질화 규소계 막의 성막 방법
US6541400B1 (en) Process for CVD deposition of fluorinated silicon glass layer on semiconductor wafer
US20040161946A1 (en) Method for fluorocarbon film depositing
US20230142684A1 (en) Single Precursor Low-K Film Deposition and UV Cure for Advanced Technology Node
KR102670993B1 (ko) 1-메틸-1-이소-프로폭시-실라사이클로알칸 및 이로부터 제조된 고밀도 오가노실리카 필름
CN113166937A (zh) 1-甲基-1-异丙氧基-硅杂环烷烃和由其制备的致密有机硅膜
KR20100041158A (ko) Imd막 및 그의 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20081128

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee