KR100644181B1 - 전자 부착을 이용하여 기판으로부터 물질을 제거하는 방법 - Google Patents

전자 부착을 이용하여 기판으로부터 물질을 제거하는 방법 Download PDF

Info

Publication number
KR100644181B1
KR100644181B1 KR1020050035030A KR20050035030A KR100644181B1 KR 100644181 B1 KR100644181 B1 KR 100644181B1 KR 1020050035030 A KR1020050035030 A KR 1020050035030A KR 20050035030 A KR20050035030 A KR 20050035030A KR 100644181 B1 KR100644181 B1 KR 100644181B1
Authority
KR
South Korea
Prior art keywords
gas
electrode
reactive
negatively charged
deposition reactor
Prior art date
Application number
KR1020050035030A
Other languages
English (en)
Other versions
KR20060047528A (ko
Inventor
천 크리스틴 동
빙 지
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/835,450 external-priority patent/US20050241670A1/en
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20060047528A publication Critical patent/KR20060047528A/ko
Application granted granted Critical
Publication of KR100644181B1 publication Critical patent/KR100644181B1/ko

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K35/00Means to prevent accidental or unauthorised actuation
    • F16K35/04Means to prevent accidental or unauthorised actuation yieldingly resisting the actuation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/44Mechanical actuating means
    • F16K31/60Handles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 명세서에서는, 예를 들어 반응기 또는 반도체 재료와 같은 기판의 적어도 일부분으로부터 물질을 제거하는 방법을 개시한다. 한 양태에서, 물질로 피복된 표면을 갖는 반응기를 제공하는 단계; 반응기에 인접하게 제1 전극 및 제2 전극을 제공하는 단계로서, 상기 제1 전극 및 제2 전극은 표적 영역 내에 위치하는 것인 단계; 반응성 기체를 포함하는 기체 혼합물을 상기 표적 영역으로 수송하는 단계; 제1 전극 및/또는 제2 전극에 에너지를 공급하여 표적 영역 내에 전자를 발생시키는 단계로서, 상기 전자의 적어도 일부는 반응성 기체의 적어도 일부에 부착하여 음으로 하전된 세정 기체를 형성하는 것인 단계; 상기 물질을, 이 물질과 반응하여 휘발성 생성물을 형성하는 음으로 하전된 세정 기체와 접촉시키는 단계; 및 상기 휘발성 생성물을 반응기로부터 제거하는 단계를 포함하는 방법이 제공된다.
음으로 하전된 세정 기체, 전자 부착, 반도체 재료

Description

전자 부착을 이용하여 기판으로부터 물질을 제거하는 방법{METHOD FOR REMOVING A SUBSTANCE FROM A SUBSTRATE USING ELECTRON ATTACHMENT}
반도체 집적 회로(IC), 광전자 디바이스, 미세전기기계 시스템(MEMS) 및 기타 전자 디바이스를 제조하는 데 있어서, 기판, 예를 들어 반도체 재료 상에 여러 개의 완전 회로(칩) 및 디바이스를 구축하기 위해서는 다단계의 박막 증착이 수행된다. 각 기판은 흔히 다양한 박막, 이에 국한되는 것은 아니지만, 예를 들면 도체막(예, 텅스텐); 반도체막(예, 도핑 및 비도핑 다결정 실리콘(poly-Si), 도핑 및 비도핑(고유) 비결정 실리콘(a-Si) 등); 유전막(예, 이산화실리콘(SiO2), 비도핑 실리콘 유리(USG), 붕소 도핑 실리콘 유리(BSG), 인 도핑 실리콘 유리(PSG), 보로포스포로실리케이트 유리(BPSG), 질화실리콘(Si3N4), 산질화실리콘(SiON) 등); 저유전율 막(예, 불소 도핑 실리케이트 유리(FSG) 및 탄소 도핑 실리콘 유리, 예컨대 "블랙 다이아몬드")으로 증착시킨다.
최신 제조 방법에 있어서 박막 증착은 기판을 공정 챔버 또는 반응기에 배치하고 화학 반응을 일으켜 기판 표면 상에 고형 물질을 증착시키는 기체를 도입하여 수행한다. 전형적인 박막 증착 공정의 한 예로 화학 증착(CVD)이 있다. 이러한 화학 반응은 통상적으로 반응 활성화 에너지를 극복하기 위해 고온(최대 600℃)을 요한다. 별법으로, 라디오 주파수(RF) 에너지를 진공 챔버에 커플링하여 전구체를 방전 상태, 즉 플라즈마로 연소시키는 방법이 있다. 후자의 방법에서는 더 낮은 공정 온도에서 더 효율적으로 플라즈마 에너지를 이용하여 품질이 더 우수한 막을 증착시킬 수 있다. 그러한 공정을 플라즈마 강화 화학 증착(PECVD)이라 부른다.
증착 공정은 기판 표면 상에서의 막 성장을 촉진할 뿐 아니라 반응기 내면 상에 막 및 고형 잔류물을 남겨 둔다. 이러한 원치 않는 고형 잔류물은 반응기 표면 특성을 변화시킬 뿐 아니라 RF 전력 커플링 효율을 변화시킬 수 있다. 그러한 반응기 변화는 증착 공정 성능 드리프트 및 생산 수율의 손실을 초래할 수도 있다. 예를 들어, 축적된 고형 잔류물은 반응기 내면으로부터 박리되어 후속 증착 사이클 중에 웨이퍼 표면 상에 증착된다. 그 결과, 생산 수율을 유지하기 위해 증착 반응기 내면의 주기적인 세정 또는 챔버 세정이 필요하게 될 수 있다.
CVD 반응기의 경우, 고형 잔류물을 CVD 반응기 밖으로 진공 펌프에 의해 제거할 수 있는 휘발성 기체상 부산물로 전환시키기 위하여 불소 화학 반응을 이용하여, 챔버 세정이라고도 불리는 반응기의 세정을 수행할 수 있다. 이와 관련하여, 불소 화합물로부터 반응성 불소 원자(Fㆍ)가 생성된다. 종래에는, 퍼플루오로카본(PFC), 예컨대 CF4 및 C2F6가 플라즈마 활성화 챔버 세정에서 반응성 불소의 공급원으로서 사용되었다. 불행히도, 챔버 세정을 위해 퍼플루오로카본 기체를 사용하는 것은 환경에 매우 악영향을 미친다. CF4 및 C2F6와 같은 퍼플루오로카본은 적외선을 강하게 흡수하며 대기 수명이 매우 길다(CF4의 경우 50,000년 이상, C2F6의 경우 10,000년 이상). 이로 인하여 이러한 퍼플루오로카본 기체는 지구 온난화를 유발하는 가장 주도적인 온실 기체이다. 퍼플루오로카본 분자는 매우 안정하기 때문에 이 분자는 플라즈마 내에서 쉽게 분해되지 않는다. 다시 말해서, PFC 파괴 효율(DE)이 매우 낮아지는 경향이 있다. 전형적인 DE의 범위는 CF4의 경우 5∼20%이고 C2F6의 경우는 20∼50%이다. 비파괴 공급 PFC 기체 이외에도, 퍼플루오로카본에 기초한 챔버 세정은 일반적으로 상술한 바와 같이 상당량의 CF4를 방출한다. 그 추산치가 다소 가변적이긴 하지만, 반도체 제조 시설로부터의 PFC 방출량의 최대 70%가 CVD 챔버 세정 공정으로부터 발생한다는 것에 대체로 인식을 같이 하고 있다. 반도체 산업이 기하급수적으로 성장함에 따라 반도체 제조 공정으로부터 방출된 PFC 기체는 지구 온난화 방출물의 주된 공급원이 될 수 있었다.
CVD 챔버 세정에 있어 퍼플루오로카본을 삼불화질소(NF3)로 대체하면 온실 기체의 방출이 현저히 감소된다. NF3는 대기 수명이 750년으로 퍼플루오로카본 기체에 비하여 상대적으로 짧다. 계내 챔버 세정 플라즈마 내에서 NF3에 대한 파괴 효율이 충분히 최적화될 경우 90% 이상이 될 수 있다. NF3는 탄소를 함유하지 않으므로 NF3 플라즈마로부터 CF4가 방출되지 않을 것이다. 플라즈마는 원자 및/또는 분자의 상당수가 전기적으로 전하를 띠거나 이온화된 물질의 상태로서 광범위하게 정의할 수 있다. 음전하와 양전하의 수는 동일하며, 따라서 플라즈마의 전체 전하는 중성이다. NF3 플라즈마에서 지구 온난화 효과 부산물은 형성될 수 없다. 따라서 CVD 챔버 세정에 있어서 퍼플루오로카본 기체를 NF3로 대체함으로서 온실 기체 방출량을 크게 감소시킬 수 있다.
현재, 챔버 세정을 위해 NF3를 이용하는 3 가지 기술 기반이 존재한다: 열 플라즈마, 계내 플라즈마 및 원거리 플라즈마. 기존의 NF3 기초 CVD 챔버 세정 기법은 통상적으로 열 활성화 또는 플라즈마 활성화를 이용한다. 열 활성화 NF3 챔버 세정 기법 및 플라즈마 활성화 NF3 챔버 세정 기법 둘 다 NF3 이용, 불소 이용 및 에너지 소비에 있어서 해결할 과제를 안고 있다. NF3를 이용하는 통상적인 열 챔버 세정 공정에 있어서, NF3는, NF3 분자의 열 분해를 개시하기 위하여 500℃ 이상의 온도로 가열할 필요가 있다. 불행히도, 특정한 비-열 CVD 반응기, 예컨대 PECVD 반응기는 반응기를 400℃ 이하의 온도로 유지하기 위하여 온도 조절 장치를 사용하는데, 상기 온도는 효과적인 열 NF3 세정을 위해서는 너무 낮은 온도이다. 계내 플라즈마 세정의 경우, 반응기 내에서 RF 플라즈마를 발생시키고 플라즈마 중의 고에너지 전자는 전자 충돌에 의해 NF3를 해리시킨다. 그러나 계내 플라즈마는, 예를 들어 음이온의 형성에 의해 전기음성도가 커질 수 있다. 음이온이 전하 캐리어로서 전자 보다 우위를 점하게 되면, 플라즈마는 반응기 내에서 불안정해지고/지거나 붕괴되어, 특히, 불완전한 챔버 세정, 불량한 NF3 이용 및 낮은 NF3 해리 효율을 초래하게 된다. 게다가, 계내 세정 중에 발생하는 고에너지 이온 충격은 하드웨어 손상을 유발할 수 있다. 원거리 플라즈마 세정은 계내 세정의 단점을 완화하는 반면, 불소 이용 효율은 훨씬 더 낮아져 공정의 총 소유 비용을 증가시킨다. 이러한 문제점으로 인하여 NF3 기초 챔버 세정을 산업에 폭넓게 적용하지 못하고 있다.
챔버 세정 이외에도 IC 및 MEMS 제작과 같은 전자 디바이스 제조 시에도 에칭 공정이 널리 사용되고 있다. 기판으로부터 다양한 물질이 제거되거나 에칭된다. 현재는 건식 에칭 및 습식 에칭 공정이 이용되고 있다. 습식 에칭 공정은 물질을 에칭하기 위하여 공격적 화학 물질 용액을 이용한다. 습식 에칭은 수십년간 산업에 이용되어 왔지만, 화학 물질 및 수자원의 소비가 많고, 환경, 건강 및 안전성 측면에서의 우려와, 높은 폐수 처리 비용이 심각한 단점으로 작용하고 있다. 건식 공정은 열 및 플라즈마 에칭 방법을 포함할 수 있다. 전력 소모 및 반응성 기체 이용은 현행 건식 에칭 공정에 있어서 해결해야 하는 지속되는 과제 중 하나이다.
본원에서는 피복된 기판의 적어도 일부분으로부터 물질을 제거하는 방법에 관해 개시한다. 본원에 기술된 방법은, 예를 들어 반도체 재료를 포함하는 기판을 증착 또는 처리하는 데 사용되는 반응기 및/또는 그 안에 포함된 임의의 고정물인 기판의 적어도 일부분으로부터 물질을 제거하기 위해 이용될 수 있다. 다른 구체예 에서, 본원에 기술된 방법은 기판, 예를 들어 반도체 재료 자체로부터 물질을 제거(예, 에칭)하는 데 사용될 수 있다.
한 양태에서, 표면의 적어도 일부분이 물질로 피복된 반응기를 제공하는 단계; 반응기 내부에 위치하거나 반응기에 인접한 제1 전극 및 제2 전극을 제공하는 단계로서, 상기 제1 전극 및 제2 전극은 표적 영역 내에 위치하는 것인 단계; 전자 친화도가 0보다 큰 반응성 기체를 포함하는 기체 혼합물을 상기 표적 영역으로 수송하는 단계; 제1 또는 제2 전극 중 하나 이상에 에너지를 공급하여 표적 영역 내에서 전자를 발생시키는 단계로서, 상기 전자의 적어도 일부는 반응성 기체의 적어도 일부에 부착하여 음으로 하전된 세정 기체를 형성하는 것인 단계; 상기 물질을 음으로 하전된 세정 기체와 접촉시키는 단계로서, 상기 음으로 하전된 세정 기체는 상기 물질과 반응하여 1종 이상의 휘발성 생성물을 형성하는 것인 단계; 및 상기 1종 이상의 휘발성 생성물을 반응기로부터 제거하는 단계를 포함하는, 반응기의 세정 방법이 제공된다.
또 다른 양태에서, 하나 이상의 전극 및 적어도 일부분이 접지된 표면을 포함하는 반응기를 제공하는 단계; 반응성 기체, 경우에 따라 비활성 희석 기체 및 경우에 따라 첨가 기체를 포함하는 기체 혼합물을 상기 반응기로 도입하는 단계; 하나 이상의 전극 및/또는 표면에 전압을 공급하여 전자를 발생시키는 단계로서, 상기 전자의 적어도 일부는 반응성 기체의 적어도 일부에 부착하여 음으로 하전된 세정 기체를 형성하는 것인 단계; 상기 물질을 음으로 하전된 세정 기체와 접촉시키는 단계로서, 상기 음으로 하전된 세정 기체는 상기 물질과 반응하여 1종 이상의 휘발성 생성물을 형성하는 것인 단계; 및 상기 1종 이상의 휘발성 생성물을 반응기로부터 제거하는 단계를 포함하는, 반응기 표면의 적어도 일부분으로부터 물질을 제거하는 방법이 제공된다.
또 다른 양태에서, 반응기 외부에 있는 원거리 챔버에 반응성 기체를 도입하는 단계; 원거리 챔버에서 반응성 기체를 활성화시켜 반응성 종을 형성하는 단계; 하나 이상의 전극 및 적어도 일부분이 접지된 표면을 포함하는 반응기를 제공하는 단계; 반응성 기체, 반응성 종 및 경우에 따라 비활성 희석 기체를 포함하는 기체 혼합물을 상기 반응기에 도입하는 단계; 하나 이상의 전극 및/또는 표면에 전압을 공급하여 전자를 발생시키는 단계로서, 상기 전자의 적어도 일부는 반응성 기체의 적어도 일부에 부착하여 음으로 하전된 세정 기체를 형성하는 것인 단계; 상기 물질을 음으로 하전된 세정 기체와 접촉시키는 단계로서, 상기 음으로 하전된 세정 기체는 상기 물질과 반응하여 1종 이상의 휘발성 생성물을 형성하는 것인 단계; 및 상기 1종 이상의 휘발성 생성물을 반응기로부터 제거하는 단계를 포함하는, 반응기 표면의 적어도 일부분으로부터 물질을 제거하는 방법이 제공된다.
또 다른 양태에서, 표면의 적어도 일부분이 제거해야 할 물질로 피복되어 있는 기판을 제공하는 단계; 기판에 인접한 제1 전극 및 제2 전극을 제공하는 단계로서, 상기 제1 전극 및 제2 전극은 표적 영역 내에 위치하는 것인 단계; 전자 친화도가 0보다 큰 반응성 기체를 포함하는 기체 혼합물을 상기 표적 영역으로 수송하는 단계; 제1 전극 및 제2 전극 중 적어도 하나에 에너지를 공급하여 표적 영역 내에 전자를 발생시키는 단계로서, 상기 전자의 적어도 일부는 반응성 기체의 적어도 일부에 부착하여 음으로 하전된 에칭 기체를 형성하는 것인 단계; 상기 물질을 음으로 하전된 에칭 기체와 접촉시키는 단계로서, 상기 음으로 하전된 에칭 기체는 상기 물질과 반응하여 1종 이상의 휘발성 생성물을 형성하는 것인 단계; 및 표적 영역으로부터 1종 이상의 휘발성 생성물을 제거하는 단계를 포함하는, 기판으로부터 물질을 제거하는 방법이 제공된다.
본원에 기술된 방법은 반도체 제조를 위해, 반도체 재료를 포함하는 기판의 적어도 일부분으로부터 물질을 제거하고(예를 들어 에칭하고), 반응기 및/또는 그 안에 포함된 고정물을 세정하는 데 유용하다. 따라서, 에칭 구체예에 적합한 기판으로는, 예를 들어 반도체 재료 등을 포함하는 한편, 세정 구체예에 적합한 기판은 예를 들어 CVD 및/또는 ALD 공정용 반응기의 표면을 포함한다. 본원에 기술된 방법의 어느 구체예에서나, 전자 부착에 의해 형성된 음으로 하전된 기체에 의해 기판의 적어도 일부분으로부터 물질을 효과적으로 제거할 수 있다. 제거할 수 있는 물질의 실체는 기판(예를 들어 반응기 대 반도체 재료)의 성질에 좌우된다. 특정한 에칭 구체예에 있어서, 제거할 수 있는 물질의 실체는 기판 자체와 동일한 것일 수 있다. 이러한 구체예에 있어서, 기판 표면의 일부분이 남도록 보호하기 위해 기판의 적어도 일부분을 마스킹할 수 있다.
"기판"이란 용어는 그 위에 증착되는 물질에 대한 기준인 고체 재료를 나타낸다. 기판은, 이에 한정되는 것은 아니지만, 반응기 및/또는 그 안에 포함된 임의의 고정물 내의 표면의 적어도 일부분 또는 선택적으로 반도체 재료를 포함할 수 있다. 후자의 구체예에 있어서 사용될 수 있는 적합한 기판은, 이에 한정되는 것은 아니지만, 반도체 재료, 예컨대 비화갈륨("GaAs"), 질화붕소("BN") 실리콘과, 결정 실리콘, 다결정 실리콘, 폴리실리콘, 비결정 실리콘, 에피택시얼 실리콘, 이산화실리콘("SiO2), 탄화실리콘("SiC"), 산탄화실리콘("SiOC"), 질화실리콘("SiN"), 탄질화실리콘("SiCN"), 오르가노실리케이트 유리("OSG"), 오르가노플루오로실리케이트 유리("OFSG"), 플루오로실리케이트 유리("FSG") 및 다른 적절한 기판 또는 이의 혼합물(비제한적으로 붕소, 인, 비소 및 갈륨과 같은 특정한 원소로 도핑된 것들을 포함함)을 포함한다. 기판은 그 위에 막이 도포된 다양한 층, 예를 들어 반사방지성 코팅, 포토레지스트, 유기 중합체, 플루오로카본 중합체, 다공성 유기 및 무기 재료, 금속, 예컨대 구리 및 알루미늄, 또는 확산 차단 층, 예를 들어 TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, WN, TiSiN, TaSiN, SiCN, TiSiCN, TaSiCN 또는 W(C)N을 더 포함할 수 있다. 특정 구체예에 있어서, 본 방법은 비휘발성 물질, 예를 들어 이에 한정되는 것은 아니지만, W, Ti, SiO2, TiO2, SiON, SiC, 오르가노실리케이트 유리, 불소 도핑 실리케이트 유리, 다공성 저유전율 재료, 폴리실리콘, 비결정 실리콘, SiN, WN, Al2O3, HfO2, ZrO2, HfSiO4, 스트론튬 비스무트 탄탈라이트(SBT), 바륨 스트론튬 티타네이트(BST), 인 지르코늄 티타네이트(PZT), 공정 잔류물, 예컨대 에칭후 또는 이온 임플란테이션후 포토레지스트 재료 및 측벽 부동화막, 또는 반도체 재료로서 사용되는 본원에 기술된 임의의 재료 또는 기판의 적어도 일부분으로부터 그 위에 증착된 막을 제거한다.
제거해야 할 물질은 비휘발성 물질로부터 반응기 진공 펌프 또는 기타 수단에 의해 쉽게 제거될 수 있는 휘발성 생성물로 전환된다. 본원에서 사용되는 "휘발성 생성물"이란 용어는 제거해야 할 물질과 음으로 하전된 에칭 기체 간의 반응의 반응 생성물 및 부산물을 의미한다. 따라서 물질은 물질과 반응하여 휘발성 생성물을 형성하기에 충분한 조건 하에 음으로 하전된 에칭 기체와 접촉시킴으로써 기판의 적어도 일부분으로부터 제거할 수 있다.
제거해야 할 물질을 갖는 기판은 특히 반응성 기체를 포함하는 기체 혼합물로 처리한다. 본원에서 사용되는 "반응성 기체"란 용어는 전자 친화도가 0보다 크고, 전자 부착에 의해 사용 및 처리될 수 있고, 반응성 기체 분자가 해리되어 음으로 하전된 기체를 형성할 수 있도록 하는 해리성 전자 부착을 위한 용량을 갖는 기체를 의미한다. 아래에서는 기체 혼합물이 반응성 기체 NF3 및 비활성 희석 기체 N2를 포함하는 특정한 구체예를 보여준다. 이 구체예에서, 음으로 하전된 불소 이온 F-는 하기 반응식(1)에 예시된 바와 같이 NF3 분자의 해리성 부착 과정을 통해 형성된다.
NF3(g) + e- -> NF2(g) + F-(g) (1)
그 후 F- 음이온은, 예를 들어 반응기 내면 또는 반도체 재료 자체에 접지될 수 있는 애노드로 편류한다. 애노드에서, 음으로 하전된 이온, 예컨대 반응식(1)에 서의 F-는 제거해야 할 물질과 반응하는 활성종, 예컨대 하기 반응식(2)에서의 SiO2와 반응하여 1종 이상의 휘발성 생성물, 예컨대 반응식 하기(2)에서의 SiF4 및 O2를 형성한다.
4F-(g) + SiO2(s) -> SiF4(g) + O2(g) + 4e- (2)
반응식(2)의 부산물로서의 자유 전자는 접지된 애노드 또는 반도체 재료에서 중화될 수 있다. 이러한 과정에서 비활성 기체의 영향은 전자 친화도가 작거나 0(예를 들어 N2)이기 때문에 매우 적거나 무시될 수 있다.
본원에 기술된 방법은, 예를 들어 반도체 재료를 포함하는 기판으로부터 1종 이상의 물질을 선택적으로 제거하는 데 사용될 수 있다. 통상적으로, 이러한 웨이퍼 제조 공정에서는 습식 스트리핑 및/또는 플라즈마 에칭이 사용된다. 통상적인 방법과 비교하여 음으로 하전된 세정 기체의 사용은 하기의 장점 중 하나 이상을 제공할 수 있다: 빠른 에칭 속도; 낮은 조작 비용; 높은 처리량; 기판 손상 및 오염 최소화; 및 낮은 투자 비용. 예를 들어, 본원에 기술된 방법은 본원에 기술된 것과 같은 반도체 재료로부터 물질을 제거하는 데 사용될 수 있다. 특정한 구체예에서, 제거할 수 있는 물질은, 이에 한정되는 것은 아니지만, 실리콘 또는 실리콘 함유 유전 재료, 금속 및 도체, 예컨대 W, Al, WN, Ta, TaN, 유기 재료, 예컨대 포토레지스트 및 저유전율 유전체, 예컨대 SILKTM 또는 VELOXTM를 포함할 수 있다. 에 칭 공정은 패턴화 에칭 및/또는 비등방성 에칭을 통해 웨이퍼의 선택된 영역으로부터, 또는 평면화, 레지스트 스트리핑/애슁(ashing) 및 웨이퍼 세정을 위해 전체 웨이퍼로부터 제거하는 데 사용될 수 있다.
본원에 개시된 방법은 다양한 챔버 세정 또는 에칭 공정에 유용할 수 있다. 예를 들어 한 구체예에서, 본 방법은 통상적인 계내 플라즈마 또는 열 챔버 세정 또는 에칭 방법에 대한 대안적인 챔버 세정 방법으로서 이용될 수 있다. 이러한 구체예에서, 반응성 기체, 경우에 따라 비활성 희석 기체 및/또는 경우에 따라 첨가 기체를 포함하는 기체 혼합물은, 특정한 구체예에서 그 안에 반도체 재료를 포함할 수 있는 반응기 내부에서 전자 부착에 의해 음으로 하전된 세정 기체를 형성할 수 있다. 챔버 내의 전자 방출 전극은 캐소드로서 이용될 수 있으며, 챔버의 벽은 애노드로서 작용하도록 접지할 수 있다. 이러한 구체예 또는 기타 구체예에서, 제거해야 할 물질을 갖는 반도체 재료는 애노드로서 작용할 수도 있다. 에너지원, 예를 들어 DC 전압이 두 전극 사이에 가해질 때, 예를 들어 0∼10 eV의 저에너지 원자가 전자 방출 전극으로부터 방출되어, 전계를 따라 접지된 챔버 벽 또는 반도체 재료로 편류한다. 이러한 전자 편류 중에 몇몇 반응성 기체 분자가 전자를 포획하여 음으로 하전된 세정 기체를 함유하는 이온을 형성할 수 있으며, 이것이 활성종으로서 작용할 수 있다. 이러한 기체에 대한 전자 부착 과정은 발열 반응이다. 그 후 음으로 하전된 세정 기체는 전계 편류로 인하여 증착 반응기의 내면 또는 반도체 재료 상에 우선적으로 흡착되어, 반응성 기체의 효율 및 제거 속도가 증가될 수 있다. 게다가, 비교적 낮은 에너지의 음으로 하전된 세정 기체를 이용하는 전자 부착 과 정은 챔버 및 그 안에 포함된 임의의 고정물에 대한 손상 또는 고에너지 양이온 충격에 의해 통상적으로 유발되는 반도체 재료에 대한 손상을 최소한으로 할 수 있다.
다른 구체예에서, 본 방법은 원거리 플라즈마 세정 또는 에칭을 강화시키는 데 이용될 수 있다. 본원에서 사용되는 "원거리 플라즈마"란 용어는 반응기 외부에서, 예를 들어 원거리 챔버에서 플라즈마를 발생시키는 것을 의미한다. 원거리 플라즈마 세정 또는 에칭에서는, 이에 한정되는 것은 아니지만, 비교적 높은 전력 범위(예, 100∼14,000 W)의 RF 또는 마이크로파원와 같은 에너지원을 사용하여 원거리 챔버에서 반응성 기체, 예컨대 본원에 개시된 임의의 반응성 기체를 사용하여 반응성 종을 함유하는 강한 플라즈마를 생성시키는 데 사용된다. 이러한 구체예에서, 기체 혼합물은 음으로 하전된 세정 기체를 형성하기 위하여 전자 부착 전에 원거리 챔버 내에서 활성화된 반응성 종, 즉 반응성 이온 또는 반응성 원자를 포함할 수 있다. 이러한 구체예에서, 반응성 종 및/또는 반응성 기체 분자의 전자 부착은 챔버 세정 또는 에칭에 사용되는, 원거리에서 발생된 플라즈마의 효율을 강화시킬 수 있다. 예를 들어, 원거리 플라즈마 발생기의 하류에 전자 부착 공정을 적용함으로써 원거리 플라즈마 발생기로부터 방출되는 F 원자 및/또는 F2 분자와 같은 중성 반응성 종이, 특정 구체예에서 반도체 재료를 포함할 수 있는 증착 챔버 내부에서 증착 잔류물을 세정하기 위한 활성제로서 작용할 수 있는 음으로 하전된 이온을 형성할 것이다. 게다가, F-와 같은 음으로 하전된 반응성 종들은 F2와 같은 중성 분자 를 형성하도록 쉽게 재결합되지 않을 수 있다. 또한, F2와 같은 재결합 부산물은 중성 대응물(F2)보다 반응성이 더 큰 F2 -로 전환될 수 있다. 개선된 세정 또는 에칭 효율은 세정 시간 및 세정 기체 이용을 감소시킬 뿐 아니라 챔버 세정 공정으로부터 유출물 방출의 스크러빙 로드를 감소시킨다. 따라서 챔버 세정 또는 에칭 공정의 총 소유 비용(COO)이 감소될 수 있다.
또 다른 구체예에서, 본 방법은 원거리 플라즈마 세정 또는 원거리 플라즈마 에칭의 대안으로서 이용될 수 있다. 이러한 구체예에서, 반응성 기체를 포함하는 기체 혼합물은 캐소드 및 애노드로서 작용하는 제1 전극 및 제2 전극을 포함하는 원거리 음이온 발생기 및/또는 표적 영역을 통과한다. 원거리 음이온 발생기의 예는 현재 본 발명의 양수인에게 양도되었으며 본원에서 그 전문을 참고로 인용하는 공계류중인 미국 특허 출원 제10/819,277호에 예시되어 있다. 기체 혼합물이 원거리 음이온 발생기를 통과하는 구체예에서, 원거리 음이온 발생기의 출구는, 특정한 구체예에서 반도체 재료를 포함할 수 있는 반응기와 유체 연통할 수 있다.
전술한 바와 같이, 전극 중 적어도 하나에, 예를 들어 제1 전극에, 제1 전극이 전자를 발생시키도록 하기에 충분한 양의 에너지를 공급할 수 있다. 특정한 구체예에서, 에너지원은 전기 에너지원 또는 전압원, 예컨대 AC 또는 DC 원일 수 있다. 다른 에너지원, 예컨대 전자기 에너지원, 열 에너지원, 또는 광 에너지원 역시 단독으로 또는 전술한 임의의 에너지원과 함께 이용될 수 있다. 에너지원은 일정한 형태일 수도 있고 펄스 형태일 수도 있다. 본원에 기술된 특정한 구체예에서, 제1 전극, 또는 캐소드 작용성 전극은 제1 전압 수준에 연결되고, 제2 전극, 또는 애노드 작용성 전극은 제2 전압 수준에 연결된다. 다른 구체예에서, 제1 전극 및 제2 전극은 캐소드 작용성과 애노드 작용성으로 번갈아 사용될 수 있다. 전압 수준의 차이는 전위에 바이어스를 생성시킨다. 제1 또는 제2 전압 수준 중 하나는 0이 될 수 있는데, 이는 두 전극 중 어느 하나가 접지될 수 있음을 나타낸다. 이와 관련하여, 제2 전극은 실제 전극이 아니고 접지된 벽 및/또는 반응기 내의 고정물 또는 반도체 재료 자체일 수 있다.
전자 부착에 의해 음으로 하전된 이온을 생성시키기 위해서는, 비교적 다량의 전자를 발생시킬 필요가 있다. 이와 관련하여, 전자는, 이에 한정되는 것은 아니지만, 캐소드 방출, 기체 방전 또는 이의 조합과 같은 다양한 방식에 의해 발생시킬 수 있다. 이러한 전자 발생 방법 중에서 특정한 방법의 선택은 주로, 발생된 전자의 효율 및 에너지 수준에 의존한다.
전술한 바와 같이, 반응성 기체가 불소 함유 기체 NF3를 포함하는 구체예의 경우, 전자 부착을 통한 가장 효율적인 이온 형성은 에너지가 ∼2 eV인 자유 전자를 이용하여 달성한다. 이러한 구체예에서, 그러한 저에너지 수준 전자는 캐소드 방출 및/또는 기체 방전에 의해 발생될 수 있다. 캐소드 방출을 통한 전자 발생과 관련된 구체예의 경우, 이러한 구체예는 전계 방출, 열 방출, 열-전계 방출, 광 방출 및 전자 또는 이온 빔 방출을 포함할 수 있다.
전계 방출은 전계에, 방출 전극의 표면으로부터 전자가 발생되도록 하는 에 너지 장벽을 극복하기 위하여 충분히 높은 강도로 베이스 전극에 대하여 방출 전극 상에 음의 바이어스를 적용하는 것을 포함한다. 특정한 구체예에서, DC 전압은 0.1∼50 kV, 또는 2∼30 kV 범위의 두 개의 전극 사이에 적용된다. 이러한 구체예에서, 전극 간의 거리는 0.1∼30 cm, 또는 0.5∼5 cm의 범위일 수 있다.
한편, 열 방출은 방출 전극에서 전자를 활성화시키고 방출 전극 재료에서 금속 결합으로부터 전자를 분리하기 위하여 고온을 이용하는 것을 포함한다. 특정한 바람직한 구체에에서, 방출 전극의 온도는 800∼3500℃, 또는 800∼1500℃의 범위일 수 있다. 방출 전극은, 이에 한정되는 것은 아니지만, AC 또는 DC 전류를 전극에 통과시켜 직접 가열하는 방법; 캐소드 표면을, 가열 부재, IR 방사선, 또는 이의 조합에 의해 가열되고 절연된 고온 표면과 접촉시키는 것과 같은 간접 가열 방법과 같은 다양한 방법에 의해 고온에 도달하게 하고/하거나 고온으로 유지할 수 있다.
열-전계 방출의 경우, 전계 및 고온을 둘 다 가한다. 따라서 열-전계 방출은 순수한 전계 방출 및 순수한 열 방출과 비교하여 동일한 양의 전자를 발생시키기 위하여 더 적은 전계 및 더 적은 전극 온도를 요할 수 있다. 열-전계 방출이 전자 발생을 위해 이용되는 구체예에서, 캐소드로서 작용하는 제1 전극의 온도는 상온∼3500℃, 또는 150∼1500℃의 범위일 수 있다. 이러한 구체예에 있어서, 전압의 범위는 0.01∼20 kV 또는 0.1∼10 kV일 수 있다.
캐소드 방출 메카니즘이 전자를 발생시키는 데 이용되는 구체예에 있어서, 두 전극 사이에 가해된 전압은 일정 전압 또는 펄스 전압일 수 있다. 전압 펄스의 주파수의 범위는 0∼100 kHz일 수 있다. 펄스 전압을 가하면 두 전극 사이의 호광 경향이 감소하여 가해진 전압이 증가하고 캐소드 방출이 강화될 수 있다.
전술한 바와 같이, 전자는 애노드로서 작용하는 제2 전극에 대하여 음의 바이어스를 가질 경우 캐소드로서 작용하는 제1 전극으로부터 발생할 수 있다. 특정한 구체예에서, 제2 전극은 접지된 챔버 벽 및/또는 반응기 내에 포함된 접지된 고정물이다. 다른 구체예에서, 제2 전극은 에칭되어질 반도체 재료일 수 있다. 전자를 발생시키기 위하여 캐소드 방출 메카니즘이 이용되는 구체예에 있어서는, 전극 재료는 공정 조건 하에 상대적으로 낮은 전자 방출 에너지 또는 일 함수 및 높은 안정성을 갖는 전도성 재료로 이루어질 수 있다. 적합한 재료의 예로는 니켈, 이리듐 및 이리듐 산화물을 포함할 수 있다. 전계 방출이 이용되는 구체예에서 전극은 전극 표면 가까이에 전계를 강화시키기 위하여, 표면이 큰 굴곡을 갖는 기하 구조, 예컨대 얇은 와이어 또는 날카로운 팁으로 제조되는 것이 바람직하다. 기하 구조의 그 밖의 예는 현재 본 발명의 양수인에게 양도되었으며 본원에서 그 전문을 참고로 인용하는 공계류중인 미국 특허 출원 제10/425,405호에 제시되어 있다.
저에너지 전자는 기체상 방전을 통해 발생될 수도 있으며, 이때 방전된 전자의 에너지 수준은 기체상의 압력에 의해 조정할 수 있다. 이러한 구체예는 열 방전, 광 방전과, 글로우 방전, 아크 방전, 스파크 방전 및 코로나 방전을 비롯하여 다양한 아발란치 방전을 포함할 수 있다. 이러한 구체예에서, 챔버 세정 또는 에칭에 사용되는 기체상은 반응성 기체 및 전자를 공여하는 데 사용되는 비활성 희석 기체를 포함할 수 있는데, 이때 비활성 희석 기체의 전자 친화도는 반응성 기체의 전자 친화도보다 훨씬 더 낮다. 기체상 방전을 이용하는 한 가지 특정한 구체예에서는, 고주파수 펄스형 전압이 제1 전극 및 제2 전극 사이에 적용되며, 두 전극 사이의 기체 혼합물로부터 전자가 발생하고 이 전자는 후에 애노드를 향해 편류한다. 전자가 편류하는 중에, 이러한 전자의 일부는 반응성 기체 분자 상에 부착하여 전자 부착에 의해 음으로 하전된 이온을 형성할 수 있다. 또한, 일부 양이온은 비활성 기체의 이온화에 의해서도 생성되며, 그 후 이것은 애노드를 향해 편류하고 애노드 표면에서 중화된다.
전술한 바와 같이, 반응성 기체, 경우에 따라 비활성 기체, 및 경우에 따라 첨가 기체를 포함하는 기체 혼합물이 일반적으로 챔버 세정 또는 에칭을 위한 공급물 기체로서 사용된다. 어느 구체예에서나, 특정 전자 친화도가 0보다 큰 반응성 기체는 전자 부착에 의해 사용 및 처리될 수 있으며, 반응성 기체 분자를 해리시켜 음으로 하전된 기체를 형성할 수 있게 하는 해리성 전자 부착을 위한 용량을 보유한다. 적합한 기체의 예로는 할로겐 함유 기체, 예를 들어, 이에 한정되는 것은 아니지만, 불소 함유 기체, 예컨대 NF3, F2, XeF2, HF, 염소 함유 기체, 예컨대 Cl2 및 HCl, 브롬 함유 기체, 예컨대 HBr 및 Br2, 요오드 함유 기체, 예컨대 HI 및 I2, 혼합 할로겐 기체, 예컨대 ClF, ClF3, HF, SF6, BrF3, BF3 및 화학식 NFnCl3-n(식중, n은 1∼2의 수임)의 화합물, 플루오로카본, 예컨대 CF4, C2F6, C3F8, C4F8, 옥시플루오로카본, 예컨대 C4F8O 및 COF2, 옥시히드로플루오로카본, 예컨대 헥사플루오로펜탄 디온(Hhfac)(CF3C(O)CH2C(O)CF3, 또는 C5H2O2F6), 옥시클로로카본, 예컨대 헥사플루오로아세톤(CF3C(O)CF3) 및 헥사클로로아세톤(CCl3C(O)CCl3) 및 화학식 CαHβXγYδOε(식중, X 및 Y는 할로겐 원자 F, Cl, Br 및 I 중 하나이고, α는 1∼6의 수이고, β는 0∼13의 수이고, γ+δ는 1∼14의 수이고, ε는 1∼6의 수임)의 혼합 산소, 수소 및 할로겐 화합물을 포함한다. 반응성 기체의 또 다른 예로는 화학식 CaHbClc(식중, 'a'는 1∼6의 수이고, 'b'는 0∼13의 수이고, 'c'는 1∼14의 수임)의 클로로카본 및 히드로클로로카본을 포함한다. 특정한 클로로카본 및 히드로클로로카본의 예로는 트랜스-디클로로에틸렌 C2H2Cl2(Trans-LC(등록상표)), 시스-디클로로에틸렌, 1,1-디클로로에틸렌, 1,1,1-트리클로로에탄(C2H3Cl3) 및 테트라클로로에틸렌(C2Cl4)을 포함한다. 반응성 기체의 또 다른 예로는 수소 함유 기체, 질소 함유 기체 및 이의 혼합물, 예컨대 NH3, N2+H2, 탄화수소, 예컨대 CH4, C3H6 등, 아민, 예컨대 NRxHy(여기서, 'x'는 1∼3의 수이고, 'y'는 '3-x'이고, R은 이에 한정되는 것은 아니지만 1∼12개의 탄소 원자를 갖는 알킬기를 포함하는 작용기임)를 포함한다. 전술한 반응성 기체 외에도, 특정한 전자 친화도를 가지며 고유 반응성이 있거나 전자 부착에 의해 활성종을 형성하여 고체 증착 잔류물을 1종 이상의 휘발성 생성물로 전환시킬 수 있는 임의의 다른 기체를 본원에 기술된 방법에 적용하는 것도 가능하다.
특정 구체예에서, 상기 기체 혼합물에 비활성 희석 기체 또는 희석 기체를 첨가할 수 있다. 이러한 구체예에서, 비활성 희석 기체는 기체 혼합물 내에 함유된 반응성 기체의 전자 친화도보다 작은 전자 친화도를 갖는다. 적합한 비활성 희석 기체의 예로는, 이에 한정되는 것은 아니지만, N2, Ar, He, Ne, Kr, Xe 및 이들의 혼합물을 포함한다. 기체 혼합물 내의 비활성 희석 기체의 농도는 0∼99.9 부피% 또는 1∼99 부피%의 범위일 수 있다.
특정 구체예에서, 기체 혼합물은 첨가 기체를 포함할 수 있다. "첨가 기체"란 용어는 비반응성 기체와 달리 공정 조건 하에 해리성 부착이 불가능한 기체를 의미한다. 첨가 기체의 예로는 산소 함유 기체, 예컨대 O2, O3, CO, CO2, NO, N2O 및 NO2를 포함한다. 기체 혼합물 내의 첨가 기체의 농도 범위는 0∼99.9 부피% 또는 1∼99 부피%일 수 있다.
기체 혼합물 내의 반응성 기체, 임의의 첨가 기체, 및 임의의 비활성 희석 기체의 선택은 제거해야 할 물질의 실체에 좌우될 수 있다. 제거해야 할 물질이, 예를 들어 단결정 실리콘, 다결정 실리콘, 비결정 실리콘, 및 붕소, 인 및 비소와 같은 원소로 도핑된 상기 재료, 및 이의 조합 중에서 선택되는 구체예에 있어서, 기체 혼합물은 F2, NF3, XeF2, CF4, C2F6, C3F8, C4F8, COF2, C12, Br2, HBr, HI, HF, ClF3, ClF, BrF3, Cl2 및 HCl과 같은 특정한 할로겐 함유 기체 중에서 선택되는 1종 이상의 반응성 기체를 함유할 수 있다. 이러한 구체예에서, 기체 혼합물은 O2 및/또는 1종 이상의 비활성 희석 기체, 예컨대 Ar 및 He과 같은 1종 이상의 첨가 기체를 더 포함할 수 있다. 제거해야 할 물질이 실리콘 함유 유전 물질, 예컨대 SiO2, SiN, SiON, SiC, 오르가노-실리케이트 유리(OSG), 예컨대 BLACK DIAMONDTM 및 DEMSTM 및 불소 도핑 실리케이트 유리(FSG), 붕소 도핑 실리케이트 유리(BSG), 비도핑 실리케이트 유리(USG), DEMS, 다공성 저유전율 물질, 예컨대 PDMESTM 및 MESOELKTM인 구체예에서, 기체 혼합물은 F2, NF3, XeF2, CF4, C2F6, C3F8, C4F8, COF2, C12, Br2, HBr, HI, HF, ClF3, ClF, BrF3, Cl2 및 HCl과 같은 할로겐 함유 기체 중에서 선택되는 1종 이상의 반응성 기체를 포함할 수 있다. 이러한 구체예에서, 기체 혼합물은 O2와 같은 1종 이상의 첨가 기체, 및/또는 Ar 및 He과 같은 1종 이상의 비활성 희석 기체를 더 포함할 수 있다. 제거해야 할 무질이 유기 중합체, 예컨대 포토레지스트, 저유전율 물질, 플루오로카본 중합체, 예컨대 TEFLONTM, 에칭후 잔류물, 투명 전도성 중합체 및/또는 보호 중합체를 포함하는 구체예에 있어서, 기체 혼합물은 수소 함유 기체 및 질소 함유 기체, 예컨대 NH3 또는 N2+H2, 탄화수소, 예컨대 CH4 또는 C3H6, 아민, 예컨대 NRxHy(여기서, x는 1∼3의 수이고, y는 '3-x'이고, R은 1∼12개의 탄소 원자를 갖는 알킬기임) 중에서 선택되는 1종 이상의 반응성 기체를 함유할 수 있다. 제거해야 할 물질이 포토레지스트, 저유전율 물질, 플루오로카본 중합체, 에칭후 잔류물, 이온 임플란테이션후 잔류물, 투명 전도성 중합체 및 보호 중합체와 같은 유기 중합체를 포함하는 구체예에 있어서, 기체 혼합물은 F2, NF3, XeF2, CF4, C2F6, C3F8, C4F8, COF2, C12, Br2, HBr, HI, HF, ClF3, ClF, BrF3, Cl2 및 HCl과 같은 할로겐 함유 기체 및 O2 및 O3와 같은 산소 함유 기체 중에서 선택되는 1종 이상의 첨가 기체를 포함할 수 있다. 이러한 구체예에서, 기체 혼합물은 N2, Ar 또는 He과 같은 비활성 희석 기체를 더 포함할 수 있다. 제거해야 할 물질이 금속 또는 전도성 물질, 예컨대 W, WN, WSi, Ta, TaN, Ti, TiSi, ITO(인듐 주석 옥시드), Cu, Al 및 이의 조합인 구체예에 있어서, 기체 혼합물은 F2, NF3, XeF2, CF4, C2F6, C3F8, C4F8, COF2, C12, Br2, HBr, HI, HF, ClF3, ClF, BrF3, Cl2 및 HCl과 같은 할로겐 함유 기체 중에서 선택되는 1종 이상의 첨가 기체를 더 포함할 수 있다. 이러한 구체예에서, 기체 혼합물은 O2와 같은 1종 이상의 첨가 기체 및/또는 Ar 및 He과 같은 1종 이상의 비활성 희석 기체를 더 포함할 수 있다. 제거해야 할 물질이 금속 또는 전도성 물질, 예컨대 W, WN, WSi, Ta, TaN, Ti, TiSi, ITO(인듐 주석 옥시드), Cu, Al 및 이의 조합인 구체예에 있어서, 기체 혼합물은 옥시플루오로카본(예, 헥사플루오로펜탄디온(Hhfac)(CF3C(O)CH2C(O)CF3 또는 C5H2O2F6)), 옥시-클로로카본, 예컨대 헥사플루오로아세톤(CF3C(O)CF3) 및 헥사클로로아세톤(CCl3C(O)CCl3) 또는 혼합 할로겐 화합물 중에서 선택되는 1종 이상의 반응성 기체를 포함할 수 있다. 제거해야 할 물질이 산화금속, 질화금속, 산질화금속, 금속 규산염, 질소가 혼입된 금속 규산염 및 이의 조합물인 구체예에서, 기체 혼합물은 F2, NF3, XeF2, CF4, C2F6, C3F8, C4F8, COF2, C12, Br2, HBr, HI, HF, ClF3, ClF, BrF3, Cl2 및 HCl과 같은 할로겐 함유 기체 중에서 선택되는 1종 이상의 반응성 기체를 포함할 수 있다. 이러한 구체예에서, 기체 혼합물은 O2와 같은 1종 이상 첨가 기체 및/또는 Ar 및 He과 같은 1종 이상의 비활성 희석 기체를 더 포함할 수 있다. 제거해야 할 물질이 산화금속, 질화금속, 산질화금속, 금속 규산염, 질소가 혼입된 금속 규산염 및 이의 조합인 구체예에 있어서, 기체 혼합물은 옥시플루오로카본, 예컨대 헥사플루오로펜탄디온(a.k.a. Hhfac)(CF3C(O)CH2C(O)CF3, 또는 C5H2O2F6), 옥시-클로로카본, 예컨대 헥사플루오로아세톤(CF3C(O)CF3) 및 헥사클로로아세톤(CCl3C(O)CCl3) 또는 화학식 CαHβXγYδOε(식중, X 및 Y는 할로겐 원자 F, Cl, Br 및 I 중 하나이고, α는 1∼6의 수이고, β는 0∼13의 수이고, γ+δ는 1∼14의 수이고, ε는 1∼6의 수임)의 혼합 할로겐 화합물을 포함할 수 있다. 제거해야 할 물질이 산화금속, 질화금속, 산질화금속, 금속 규산염, 질소가 혼입된 금속 규산염 및 이의 조합인 구체예에 있어서, 기체 혼합물은 화학식 CaHbClc(식중, 'a'는 1∼6의 수이고, 'b'는 0∼13의 수이고, 'c'는 1∼14의 수임)의 클로로카본 또는 히드로클로로카본, 예를 들어 트랜스-디클로로에틸렌 C2H2Cl2(a.k.a. Trans-LC(등록상표)), 시스-디클로로에틸렌, 1,1-디클로로에틸렌, 1,1,1-트리클로로에탄(C2H3Cl3) 및 테트라클로로에틸렌(C2Cl4)을 포함할 수 있다.
소정량의 자유 원자의 경우, 전자 부착의 효율은 자유 전자의 가속 저하 및/ 또는 기체 혼합물 내에 포함된 분자와 자유 전자 사이의 충돌 가능성의 증가로 인하여 증가된 기압에서 증가할 수 있다. 반응기 내의 압력 범위는 10 mTorr∼700 Torr 또는 1∼700 Torr의 범위일 수 있다. 특정 구체예에서, 반응성 기체의 외부 누출을 최소화하기 위해 안전 대책으로서 대기압 이하의 압력(예컨대 700 Torr)을 이용할 수 있다. 그러나 외부 기체 누출의 잠재적 위험성이 완화될 경우, 압력은 더 높은 범위로, 예를 들어 대기압 이상의 압력으로 증가하여, 전자 부착 과정의 효율을 추가로 증가시킬 수 있다. 다른 구체예에서, 반응기 내의 압력은 표적 영역, 원거리 이온 발생기 및/또는 원거리 플라즈마 챔버 내의 압력보다 더 커서, 반응기 내로의 음으로 하전된 세정 기체의 흐름을 촉진할 수 있다.
본원에 개시된 방법은 반응기의 내측과, 반응기 안에 포함된 다양한 고정물의 표면, 예를 들어 이에 한정되는 것은 아니지만, 유입구 및 유출구, 샤워헤드, 워크 피스 플랫폼 등을 세정하는 데 유용하다. 이러한 구체예에서, 챔버 및 그 안에 포함된 고정물의 표면은 티탄, 알루미늄, 스테인레스 스틸, 니켈 또는 이들을 포함하는 합금을 비롯한 각종 재료, 또는 절연 재료, 예컨대 세라믹, 예를 들어, 석영 또는 Al2O3로 이루어질 수 있다.
특정 구체예에서, 본원에 개시된 방법은 원거리 플라즈마 챔버 세정을 촉진하기 위해 사용될 수 있다. 이러한 구체예에서, 계내 플라즈마보다는 원거리 플라즈마원, 예를 들어, 이에 한정되는 것은 아니지만, 원거리 열 활성화원, 원거리 접촉 활성화원, 또는 열 활성화와 접촉 활성화를 결합한 공급원을 이용하여 휘발성 생성물을 생성할 수 있다. 원거리 플라즈마 세정에 있어서, 세정 기체의 집중적인 방전은 증착 챔버의 외부에서 발생하며, 반응성 원자 및 라디칼과 같은 반응성 종은 증착 챔버의 하류로 흘러 증착 잔류물을 휘발시킨다. RF나 마이크로파 공급원 어느 것이나 원거리 플라즈마원을 생성할 수 있다. 에너지원에 따라, 100∼14,000 와트의 전력을 이용하여 플라즈마를 활성화시킬 수 있다. 특정 구체예에서, 원거리 플라즈마 발생 반응성 종을 함유하는 음으로 하전된 세정 기체와 증착 잔류물 사이의 반응을 반응기를 가열함으로써 활성화 및/또는 강화시킬 수 있다. 이러한 구체예에서, 원거리 플라즈마 발생 반응성 종을 함유하는 음으로 하전된 세정 기체와 제거해야 할 물질 간의 반응은 반응기를 반응성 기체 내에 포함된 1종 이상의 반응성 기체를 해리시키기에 충분한 온도로 가열함으로써 활성화 및/또는 강화시킬 수 있다. 제거해야 할 물질과의 세정 반응을 활성화시키기 위해 요구되는 특정한 온도는 이용되는 반응성 기체(들)에 따라 달라진다.
원거리 열 활성화에 있어서, 반응성 기체는 먼저 가열된 영역, 예컨대 세정해야 하는 용기의 외부에 있는 원거리 챔버를 통과하여 흘러간다. 원거리 챔버에서는, 기체가 세정해야 하는 반응기 외부의 용기 내에서 고온과 접촉하여 해리된다. 또 다른 방법은 반응성 기체를 해리시키기 위하여 접촉 전환기를 사용하거나, 또는 열적 가열과 접촉 크래킹을 병용함으로써 기체 혼합물 내의 1종 이상의 반응성 기체의 활성화를 촉진하는 것을 포함한다.
또 다른 구체예에서, 기체 혼합물 내의 1종 이상의 반응성 기체의 분자는 광자에 집중 노출시켜 해리하여 반응성 라디칼 및 원자를 형성할 수 있다. 예를 들 어, 자외선, 원자외선 및 진공 자외선을 이용하여 증착 잔류물 내의 강력한 화학 결합을 파괴하는 것뿐 아니라 기체 혼합물 내의 1종 이상의 반응성 기체의 해리를 촉진함으로써 증착 잔류물의 제거 속도를 증가시킬 수 있다. 세정 과정을 활성화 및 강화시키는 다른 수단도 이용할 수 있다. 예를 들어, 반응성 종을 생성하고 전자 부착에 의해 발생되는 음으로 하전된 세정 기체를 강화시키기 위하여 광자 유도 화학 반응을 이용할 수 있다.
특정한 구체예에 있어서, 반응기는 세정 작업 중에 증착 작업과 실질적으로 유사한 작업 조건(압력 및 온도)으로 유지될 수 있다. 예를 들어, 반응기가 CVD 반응기인 구체예에 있어서는, 증착 기체의 흐름을 중단시키고 반응기 및 수송 라인으로부터 퍼지한다. 필요하다면, 반응기 온도를 최적 값으로 변화시킬 수 있다. 그러나, 바람직한 방식에서 반응기 온도는 증착 공정 조건으로 유지한다. 반응성 기체, 비활성 희석 기체 및/또는 반응성 종을 포함할 수 있는 기체 혼합물은 반응기로 수송된다. 반응성 기체는 물질, 즉 반응기 표면 상의 잔해물을 반응기로부터 일소되는 휘발성 화합물로 전환시킨다. 소정의 시간이 경과한 후, 또는 반응기 유출물 중에서 검출되는 형성된 휘발성 화합물의 농도가 허용 가능한 수준 이하로 떨어진 후에, 세정 기체 흐름을 중단시키고, 바람직하게는 반응기 및 수송 라인으로부터 퍼지한다. 그 후 증착 기체의 흐름을 재개하고, CVD 증착 공정을 재개한다.
본원에 기술된 방법은, 예를 들어 반도체 재료를 포함하는 기판으로부터 1종 이상의 물질을 선택적으로 제거하는 데 사용될 수 있다. 음으로 하전된 세정 기체 를 사용하는 본 발명의 방법에 의하면 종래의 방법에 비하여, 빠른 에칭 속도, 낮은 조작 비용, 높은 처리량, 기판 손상 및 오염 최소화 및 낮은 투자 비용 등의 장점을 얻을 수 있다.

Claims (33)

  1. 표면의 일부분이 물질로 피복된 증착 반응기를 제공하는 단계;
    증착 반응기 내부에 위치하거나 증착 반응기에 인접한 제1 전극 및 제2 전극을 제공하는 단계로서, 상기 제1 전극 및 제2 전극은 표적 영역 내에 위치하는 것인 단계;
    전자 친화도가 0보다 큰 반응성 기체를 포함하는 기체 혼합물을 상기 표적 영역으로 수송하는 단계;
    제1 전극 또는 제2 전극 중 적어도 하나에 에너지를 공급하여 상기 표적 영역 내에 전자를 발생시키는 단계로서, 상기 전자의 일부는 반응성 기체의 일부에 부착하여 음으로 하전된 세정 기체를 형성하는 것인 단계;
    상기 물질을 상기 음으로 하전된 세정 기체와 접촉시키는 단계로서, 상기 음으로 하전된 세정 기체는 상기 물질과 반응하여 1종 이상의 휘발성 생성물을 형성하는 것인 단계; 및
    상기 1종 이상의 휘발성 생성물을 증착 반응기로부터 제거하는 단계
    를 포함하는, 증착 반응기로부터 물질을 제거하는 방법.
  2. 제1항에 있어서, 반응성 기체는 할로겐을 포함하는 것인 방법.
  3. 제1항에 있어서, 기체 혼합물은 원거리 챔버 내에서 활성화된 반응성 종을 포함하는 것인 방법.
  4. 제1항에 있어서, 기체 혼합물은 비활성 희석 기체를 더 포함하는 것인 방법.
  5. 제4항에 있어서, 비활성 희석 기체는 전자 친화도가 반응성 기체의 전자 친화도보다 더 작은 것인 방법.
  6. 제1항에 있어서, 공급 단계에서의 에너지는 전기 에너지원, 전자기 에너지원, 열 에너지원, 전기 에너지원, 광 에너지원 및 이들의 조합으로 이루어진 군에서 선택되는 1종 이상의 에너지원인 방법.
  7. 제6항에 있어서, 에너지는 전기 에너지원인 방법.
  8. 제1항에 있어서, 제1 전극은 접지되는 것인 방법.
  9. 제1항에 있어서, 제2 전극은 접지되는 것인 방법.
  10. 제1항에 있어서, 표적 영역은 증착 반응기 내에 위치하는 것인 방법.
  11. 제1항에 있어서, 표적 영역은 증착 반응기 외부에 위치하는 것인 방법.
  12. 제1항에 있어서, 공급 단계에서 전자는 캐소드 방출, 기체 방전 및 이들의 조합으로 이루어진 군에서 선택되는 하나 이상의 방법에 의해 발생시키는 것인 방법.
  13. 제12항에 있어서, 전자는 전계 방출, 열 방출, 열-전계 방출, 광 방출 및 전자빔 방출로 이루어진 군에서 선택되는 캐소드 방출 방법에 의해 발생시키는 것인 방법.
  14. 제1항에 있어서, 물질은 W, Ti, SiO2, TiO2, SiON, 폴리실리콘, 비결정 실리콘, SiN, WN, Al2O3, HfO2, ZrO2, HfSiO4 및 이들의 혼합물 중에서 선택되는 1종 이상인 방법.
  15. 하나 이상의 전극 및 일부분이 접지된 표면을 포함하는 증착 반응기를 제공하는 단계;
    비활성 희석 기체를 포함하거나 포함하지 않고 반응성 기체를 포함하는 기체 혼합물을 상기 증착 반응기로 도입하는 단계;
    하나 이상의 전극 또는 표면 또는 이들 둘 다에 전압을 공급하여 전자를 발생시키는 단계로서, 상기 전자의 일부는 반응성 기체의 일부에 부착하여 음으로 하전된 세정 기체를 형성하는 것인 단계;
    상기 물질을 상기 음으로 하전된 세정 기체와 접촉시키는 단계로서, 상기 음으로 하전된 세정 기체는 상기 물질과 반응하여 1종 이상의 휘발성 생성물을 형성하는 것인 단계; 및
    상기 1종 이상의 휘발성 생성물을 증착 반응기로부터 제거하는 단계
    를 포함하는, 증착 반응기 표면의 일부분으로부터 물질을 제거하는 방법.
  16. 제15항에 있어서, 기체 혼합물은 반응성 종을 더 포함하는 것인 방법.
  17. 제2항 또는 제15항에 있어서, 반응성 기체는 NF3, ClF3, ClF, SF6, 퍼플루오로카본, 히드로플루오로카본, 옥시플루오로카본, 하이포플루오라이트, 플루오로퍼옥시드, 플루오로트리옥시드, COF2, NOF, F2, 화학식 NFnCl3-n(식 중, n은 1∼2의 수임)의 화합물, 옥시히드로플루오로카본, 염소 함유 화합물, 브롬 함유 화합물, 요오드 함유 화합물, 화학식 CαHβXγYδOε(식 중, X 및 Y는 할로겐 원자 F, Cl, Br 및 I 중 하나이고, α는 1∼6의 수이고, β는 0∼13의 수이고, γ+δ는 1∼14의 수이고, ε는 1∼6의 수임)의 혼합 산소, 수소 및 할로겐 화합물, 클로로카본, 히드로클로로카본, 질소 및 수소 함유 화합물, 및 이들의 혼합물 중에서 선택되는 1종 이상인 방법.
  18. 제17항에 있어서, 반응성 기체는 NF3인 방법.
  19. 제15항에 있어서, 물질은 SiO2, TiO2, SiON, W, 폴리실리콘, 비결정 실리콘, SiN, WN, Al2O3, HfO2, ZrO2, HfSiO4, HfSiO4 및 이들의 혼합물 중에서 선택되는 1종 이상인 방법.
  20. 제15항에 있어서, 전압은 0.01∼50 kV 범위인 방법.
  21. 제20항에 있어서, 전압은 0.1∼30 kV 범위인 방법.
  22. 제15항에 있어서, 전압은 펄스 전압인 방법.
  23. 삭제
  24. 제15항에 있어서, 기체 혼합물은 비활성 희석 기체를 포함하는 것인 방법.
  25. 제15항에 있어서, 비활성 희석 기체의 양은 1∼99 부피%의 범위인 방법.
  26. 증착 반응기 외부에 있는 원거리 챔버에 반응성 기체를 제공하는 단계;
    상기 원거리 챔버 내의 반응성 기체를 활성화시켜 반응성 종을 형성하는 단계;
    하나 이상의 전극 및 일부분이 접지된 표면을 포함하는 증착 반응기를 제공하는 단계;
    비활성 희석 기체를 포함하거나 포함하지 않고 반응성 기체 및 반응성 종을 포함하는 기체 혼합물을 상기 증착 반응기로 도입하는 단계;
    하나 이상의 전극 또는 표면 또는 이들 둘 다에 전압을 공급하여 전자를 발생시키는 단계로서, 상기 전자의 일부는 반응성 기체의 일부에 부착하여 음으로 하전된 세정 기체를 형성하는 것인 단계;
    상기 물질을 상기 음으로 하전된 세정 기체와 접촉시키는 단계로서, 상기 음으로 하전된 세정 기체는 상기 물질과 반응하여 1종 이상의 휘발성 생성물을 형성하는 것인 단계; 및
    상기 1종 이상의 휘발성 생성물을 증착 반응기로부터 제거하는 단계
    를 포함하는, 증착 반응기 표면의 일부분으로부터 물질을 제거하는 방법.
  27. 제26항에 있어서, 활성화 단계는 100∼14,000 와트 범위의 전력을 이용하여 수행하는 것인 방법.
  28. 표면의 일부분이 물질로 피복되어 있는 기판을 제공하는 단계;
    기판에 인접한 제1 전극 및 제2 전극을 제공하는 단계로서, 상기 제1 전극 및 제2 전극은 표적 영역 내에 위치하는 것인 단계;
    전자 친화도가 0보다 큰 반응성 기체를 포함하는 기체 혼합물을 상기 표적 영역으로 수송하는 단계;
    제1 전극 또는 제2 전극 중 적어도 하나에 에너지를 공급하여 표적 영역 내에 전자를 발생시키는 단계로서, 상기 전자의 일부는 상기 반응성 기체의 일부에 부착하여 음으로 하전된 에칭 기체를 형성하는 것인 단계;
    상기 물질을 상기 음으로 하전된 에칭 기체와 접촉시키는 단계로서, 상기 음으로 하전된 에칭 기체는 상기 물질과 반응하여 1종 이상의 휘발성 생성물을 형성하는 것인 단계; 및
    상기 1종 이상의 휘발성 생성물을 표적 영역으로부터 제거하는 단계
    를 포함하는, 반도체 재료를 포함하는 기판으로부터 물질을 제거하는 방법.
  29. 제28항에 있어서, 반응성 기체는 NF3, ClF3, ClF, SF6, 퍼플루오로카본, 히드로플루오로카본, 옥시플루오로카본, 하이포플루오라이트, 플루오로퍼옥시드, 플루오로트리옥시드, COF2, NOF, F2, 화학식 NFnCl3-n(식 중, n은 1∼2의 수임)의 화합물, 옥시히드로플루오로카본, 염소 함유 화합물, 브롬 함유 화합물, 요오드 함유 화합물, 화학식 CαHβXγYδOε(식 중, X 및 Y는 할로겐 원자 F, Cl, Br 및 I 중 하나이고, α는 1∼6의 수이고, β는 0∼13의 수이고, γ+δ는 1∼14의 수이고, ε는 1∼6의 수임)의 혼합 산소, 수소 및 할로겐 화합물, 클로로카본, 히드로클로로카본, 질소 및 수소 함유 화합물, 및 이들의 혼합물 중에서 선택되는 1종 이상인 방법.
  30. 제28항에 있어서, 반응성 기체는 비활성 희석 기체를 더 포함하는 것인 방법.
  31. 제4항 또는 제30항에 있어서, 비활성 희석 기체는 질소, 헬륨, 아르곤, 네온, 크세논, 크립톤, 라돈 및 이들의 혼합물 중에서 선택되는 1종 이상을 포함하는 것인 방법.
  32. 제28항에 있어서, 반응성 기체는 첨가 기체를 더 포함하는 것인 방법.
  33. 제32항에 있어서, 첨가 기체는 O2, O3, CO, CO2, NO, N2O, NO2 및 이들의 혼합물 중에서 선택되는 1종 이상을 포함하는 것인 방법.
KR1020050035030A 2004-04-29 2005-04-27 전자 부착을 이용하여 기판으로부터 물질을 제거하는 방법 KR100644181B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/835,450 2004-04-29
US10/835,450 US20050241670A1 (en) 2004-04-29 2004-04-29 Method for cleaning a reactor using electron attachment
US11/095,580 2005-04-01
US11/095,580 US20050241671A1 (en) 2004-04-29 2005-04-01 Method for removing a substance from a substrate using electron attachment

Publications (2)

Publication Number Publication Date
KR20060047528A KR20060047528A (ko) 2006-05-18
KR100644181B1 true KR100644181B1 (ko) 2006-11-10

Family

ID=34935878

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050035030A KR100644181B1 (ko) 2004-04-29 2005-04-27 전자 부착을 이용하여 기판으로부터 물질을 제거하는 방법

Country Status (5)

Country Link
US (1) US20050241671A1 (ko)
EP (1) EP1598881A3 (ko)
JP (1) JP2005317963A (ko)
KR (1) KR100644181B1 (ko)
TW (1) TWI263255B (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
JP4447419B2 (ja) * 2004-09-29 2010-04-07 Necエレクトロニクス株式会社 半導体装置の製造方法
EP1647378B1 (en) * 2004-10-12 2010-04-14 Fosber S.P.A. Device for longitudinal cutting of a continuous web material, such as corrugated cardboard
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
WO2007027350A2 (en) * 2005-08-02 2007-03-08 Massachusetts Institute Of Technology Method of removing surface deposits and passivating interior surfaces of the interior of a chemical vapour deposition (cvd) chamber
CN101278072A (zh) * 2005-08-02 2008-10-01 麻省理工学院 使用nf3除去表面沉积物的方法
US20070131899A1 (en) * 2005-12-13 2007-06-14 Jinru Bian Composition for polishing semiconductor layers
KR100706810B1 (ko) * 2006-02-07 2007-04-12 삼성전자주식회사 박박 형성 장치의 세정 방법 및 이를 이용한 박막 형성방법
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
JP2009544849A (ja) * 2006-07-27 2009-12-17 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 膜形成装置のクリーニング方法および膜形成装置
KR101160930B1 (ko) 2006-07-31 2012-06-29 어플라이드 머티어리얼스, 인코포레이티드 카본-함유 실리콘 에피택셜 층을 형성하는 방법
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
KR100840646B1 (ko) * 2006-12-29 2008-06-24 동부일렉트로닉스 주식회사 시모스 이미지 센서의 제조 방법
JP5048352B2 (ja) * 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR101330707B1 (ko) * 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US8524112B2 (en) * 2007-12-21 2013-09-03 Solvay Fluor Gmbh Process for the production of microelectromechanical systems
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
TW201044963A (en) * 2010-06-25 2010-12-16 Linco Technology Co Ltd Method of forming EMI shield on plastic workpiece
TW201213594A (en) * 2010-08-16 2012-04-01 Air Liquide Etching of oxide materials
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
WO2014092856A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
KR101953044B1 (ko) * 2014-10-10 2019-02-27 칸토 덴카 코교 가부시키가이샤 규소 화합물용 에칭 가스 조성물 및 에칭 방법
US9673315B2 (en) * 2015-03-24 2017-06-06 Kabushiki Kaisha Toshiba Semiconductor device, inverter circuit, driving device, vehicle, and elevator
KR20160123575A (ko) * 2015-04-16 2016-10-26 삼성전자주식회사 전자 소자 제조 장치와 세정 방법 및 이를 이용한 전자 소자의 제조 방법
JP6600480B2 (ja) * 2015-04-20 2019-10-30 東京エレクトロン株式会社 被処理体を処理する方法
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
JP6896522B2 (ja) * 2017-06-27 2021-06-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチング方法およびプラズマエッチング用材料

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05291190A (ja) * 1992-04-08 1993-11-05 Tokyo Electron Ltd プラズマ装置
JPH09228053A (ja) * 1996-02-21 1997-09-02 Nec Corp タングステンcvd反応室内のエッチング方法
JP2000277496A (ja) 1999-03-27 2000-10-06 Sigma Meltec Ltd 金属薄膜のエッチング方法
KR20030045069A (ko) * 2000-09-14 2003-06-09 동경 엘렉트론 주식회사 실리콘 고속 에칭방법
KR20040010221A (ko) * 2002-07-18 2004-01-31 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69217829T2 (de) * 1991-11-08 1997-06-12 Fujitsu Ltd Feldemissionsanordnung und Reinigungsverfahren dafür
EP0647163B1 (en) * 1992-06-22 1998-09-09 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5779926A (en) * 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6217704B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
US6352081B1 (en) * 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
TW507256B (en) * 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US6857433B2 (en) * 2002-07-22 2005-02-22 Air Products And Chemicals, Inc. Process for cleaning a glass-coating reactor using a reactive gas
US20040045577A1 (en) * 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas
CA2465195C (en) * 2003-04-28 2012-06-19 Air Products And Chemicals, Inc. Electrode assembly for the removal of surface oxides by electron attachment
US7079370B2 (en) * 2003-04-28 2006-07-18 Air Products And Chemicals, Inc. Apparatus and method for removal of surface oxides via fluxless technique electron attachment and remote ion generation
US7387738B2 (en) * 2003-04-28 2008-06-17 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment for wafer bumping applications
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05291190A (ja) * 1992-04-08 1993-11-05 Tokyo Electron Ltd プラズマ装置
JPH09228053A (ja) * 1996-02-21 1997-09-02 Nec Corp タングステンcvd反応室内のエッチング方法
JP2000277496A (ja) 1999-03-27 2000-10-06 Sigma Meltec Ltd 金属薄膜のエッチング方法
KR20030045069A (ko) * 2000-09-14 2003-06-09 동경 엘렉트론 주식회사 실리콘 고속 에칭방법
KR20040010221A (ko) * 2002-07-18 2004-01-31 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법

Also Published As

Publication number Publication date
KR20060047528A (ko) 2006-05-18
TWI263255B (en) 2006-10-01
TW200535989A (en) 2005-11-01
JP2005317963A (ja) 2005-11-10
US20050241671A1 (en) 2005-11-03
EP1598881A2 (en) 2005-11-23
EP1598881A3 (en) 2006-06-14

Similar Documents

Publication Publication Date Title
KR100644181B1 (ko) 전자 부착을 이용하여 기판으로부터 물질을 제거하는 방법
KR100786611B1 (ko) 원격 플라스마 챔버 세척시의 자유 라디칼 개시제
KR102398461B1 (ko) 다중 적층을 에칭하기 위한 화학물질
US7371688B2 (en) Removal of transition metal ternary and/or quaternary barrier materials from a substrate
CN1770390A (zh) 使用电子附着从衬底除去物质的方法
KR100344275B1 (ko) 세정방법
EP3038142A1 (en) Selective nitride etch
US5419805A (en) Selective etching of refractory metal nitrides
US7111629B2 (en) Method for cleaning substrate surface
TW201405656A (zh) 具有高選擇性之多晶矽及原生氧化層的移除
JPH09232299A (ja) Cvd装置のインシチュウクリーニング
JP2004146787A (ja) 高誘電率材料のエッチング方法及び高誘電率材料の堆積チャンバーのクリーニング方法
TWI727992B (zh) 具有高產能之超高選擇性多晶矽蝕刻
US20130122713A1 (en) Method and apparatus for selective nitridation process
JP2009503271A (ja) Cvd/pecvd−プラズマチャンバーの内部から表面沈着物を除去するためのフッ化硫黄を使用する遠隔チャンバー方法
KR101224747B1 (ko) 감소된 에칭률 마이크로-로딩을 갖는 텅스텐 실리사이드에칭 공정
WO2022055876A1 (en) Systems and methods for aluminum-containing film removal
US9269563B2 (en) Methods for forming interconnect structure utilizing selective protection process for hardmask removal process
EP1892047A2 (en) Detecting the Endpoint of a Cleaning Process
US20150371889A1 (en) Methods for shallow trench isolation formation in a silicon germanium layer
CN107743651B (zh) 针对侧壁孔密封及通孔清洁度的互连整合
US11289325B2 (en) Radiation of substrates during processing and systems thereof
Fuller Plasma etching
KR100602334B1 (ko) 플라즈마 세정 방법
CA2063371C (en) Selective etching of refractory metal nitrides

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee