JP2005317963A - 電子付着を用いて基材から物質を除去する方法 - Google Patents

電子付着を用いて基材から物質を除去する方法 Download PDF

Info

Publication number
JP2005317963A
JP2005317963A JP2005125113A JP2005125113A JP2005317963A JP 2005317963 A JP2005317963 A JP 2005317963A JP 2005125113 A JP2005125113 A JP 2005125113A JP 2005125113 A JP2005125113 A JP 2005125113A JP 2005317963 A JP2005317963 A JP 2005317963A
Authority
JP
Japan
Prior art keywords
gas
reactor
electrode
negatively charged
electrons
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005125113A
Other languages
English (en)
Inventor
Chun Christine Dong
クリスティーン ドン チャン
Bing Ji
ビン ジ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/835,450 external-priority patent/US20050241670A1/en
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2005317963A publication Critical patent/JP2005317963A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K35/00Means to prevent accidental or unauthorised actuation
    • F16K35/04Means to prevent accidental or unauthorised actuation yieldingly resisting the actuation
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/44Mechanical actuating means
    • F16K31/60Handles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】 例えば反応器又は半導体材料であり得る基材の少なくとも一部分から物質を除去する方法を提供すること。
【解決手段】 物質で表面がコーティングされている反応器を準備することと、第一電極及び第二電極を、目標領域内となるように、前記反応器に近接して設けることと、反応ガスを含むガス混合物を前記目標領域に通すことと、前記第一電極及び/又は第二電極にエネルギーを供給して、前記目標領域内に電子を生成するが、前記電子の少なくとも一部分が前記反応ガスの少なくとも一部分に結合することにより負に帯電した清浄ガスを形成することと、前記物質を前記負に帯電した清浄ガスと接触させ、前記負に帯電した清浄ガスを前記物質と反応させて揮発性生成物を形成することと、前記揮発性生成物を前記反応器から取り出すことと、を含む方法。
【選択図】 なし

Description

半導体集積回路(IC)、オプトエレクトロニクス装置、マイクロエレクトロメカニカルシステム(MEMS)及び他の電子装置の製造において、複数の薄膜付着(堆積)工程を実施して、例えば半導体材料等の基材の上にいくつかの完成した回路(チップ)及び装置を構成する。各基材に、種々の薄膜、例えば導体フィルム、例えばタングステン、半導体フィルム、例えばドープ及び未ドープ多結晶シリコン(poly−Si)、ドープ及び未ドープ(固有)非晶質シリコン(a−Si)等;誘電体膜、例えば、二酸化珪素(SiO2)、非ドープシリコンガラス(USG)、ホウ素ドープシリコンガラス(BSG)、リンドープシリコンガラス(PSG)、ボロホスホロシリケートガラス(BPSG)、窒化珪素(Si34)、オキシ窒化珪素(SiON)等;低k誘電体膜、例えばフッ素ドープシリケートガラス(FSG)、及び炭素ドープシリコンガラス、例えば、「Black Diamond(商標)」をしばしば付着させているが、これらには限定されない。
最近の製造においては、薄膜付着は、基材を処理チャンバー又は反応器に入れ、化学反応させるガスを導入して固体材料を基材の表面上に付着させることによりおこなっている。典型的な薄膜付着プロセスの一例として、化学気相成長法(CVD)がある。これらの化学反応では、典型的に反応活性エネルギーに耐えるために高温(600℃以下)を必要とする。別法として、無線周波数(RF)エネルギーを真空チャンバーにカップリングして前駆体を発火させて放電状態、すなわちプラズマとする。後者の方法では、より高品質膜を、プラズマエネルギーを用いてより低いプロセス温度でより効率的に付着させることができる。このようなプロセスは、プラズマ増強化学蒸着(PECVD)と称される。
付着プロセスは、単に基材表面上への薄膜の成長を容易にするだけでなく、薄膜及び固体残留物が、反応器の内面に残る。これらの望ましくない固体残留物は、反応器表面特性だけでなく、RFパワーカップリング効率を変化させることがある。また、このような反応器の変化は、付着プロセス性能ドリフトと生成収量損失を生じることがある。例えば、蓄積した固体残留物は、反応器の内面からはげて落ち、粒子が続いての付着サイクル中にウエハ表面上に付着することがある。その結果、付着反応器の内面の周期的クリーニング又はチャンバークリーニングが、製造歩留りを維持するのに必要である。
CVD反応器について、チャンバークリーニングとも称される反応器のクリーニングを、フッ素化学を用いておこなって固体残留物を揮発性ガス状副生成物に転化し、それを真空ポンプによりCVD反応器から排出することができる。これに関連して、反応性フッ素原子(F・)が、フルオロ化合物から発生させられる。これまで、パーフルオロカーボン(PFC)、例えばCF4やC26は、プラズマ活性化チャンバークリーニングにおける反応性フッ素のソースとして使用されている。残念ながら、チャンバークリーニングにパーフルオロカーボンガスを用いることには、環境に対して顕著な悪影響がある。パーフルオロカーボン、例えばCF4及びC26は、赤外線を強力に吸収し、極めて長い大気寿命(CF4については50,000年超、C26については10,000年超)である。その結果、これらのパーフルオロカーボンガスは、最も強力な温室ガスであり、地球の温暖化を生じる。パーフルオロカーボン分子は極めて安定であるので、プラズマにおいて分解することが困難である。換言すれば、PFC破壊効率(DE)は、極めて低い傾向がある。典型的なDEは、CF4については5%〜20%の範囲であり、C26については20%〜50%の範囲である。未破壊フィードPFCガスに加えて、パーフルオロカーボン系チャンバークリーニングは、典型的には上記したように顕著な量のCF4を放出する。推定値は多少異なるが、半導体加工施設からのPFC放出の最大70%が、CVDチャンバークリーニングプロセスからのものであることが一般的に認められている。半導体工業の急激な成長とともに、半導体製造プロセスから放出されるPFCガスは、地球温暖化の顕著な放出源となった。
CVDチャンバーのクリーニングのために、パーフルオロカーボンを三フッ化窒素(NF3)と置き換えると、温室ガス放出の減少が劇的に改善される。NF3は、パーフルオロカーボンガスと比較して、比較的短い大気寿命(750年)を有する。十分に最適化するとき、現場チャンバークリーンプラズマにおけるNF3についての破壊効率は、90%超であることがある。NF3はカーボンを含有しないので、NF3プラズマからはCF4は放出されない。プラズマは、広く顕著な原子数及び/又は分子数が電気的に帯電又はイオン化する物質の状態として定義される。負及び正の電荷の数は等しく、したがって、プラズマの総電荷は、中性である。地球の温暖化副生成物は、NF3プラズマには形成できない。したがって、温室ガス放出の顕著な減少は、CVDチャンバークリーニングにおいて、パーフルオロカーボンガスをNF3で置き換えることにより達成できる。
現在、チャンバークリーニングには、NF3を利用する3つの技術プラットフォームがある:熱、現場プラズマ及びリモートプラズマ。既存のNF3系CVDチャンバークリーニング技術では、典型的には熱又はプラズマ活性化を使用する。熱活性化NF3チャンバークリーニング技術及びプラズマ活性化NF3チャンバークリーニング技術の両方は、NF3の利用、フッ素の利用及びエネルギー消費における課題を提供する。NF3を用いた典型的な熱チャンバークリーニングプロセスにおいては、NF3を500℃を超える温度まで加熱してNF3分子の熱分解を開始することが必要なことがある。残念なことに、一定の非熱CVD反応器、例えば、PECVD反応器は、温度コントローラを使用して反応器を、有効な熱NF3クリーニングには低すぎる400℃未満の温度で維持する。現場プラズマクリーニングでは、RFプラズマを、反応器内で発生させ、プラズマにおける高エネルギー電子が電子衝撃によりNF3を解離する。しかしながら、現場プラズマは、例えば負イオンの形成により高度に電気的に陰性になる。負イオンが電荷キャリアとしての電子を支配するとき、プラズマは、反応器内で不安定になる及び/又は潰れ、それにより、不完全なチャンバークリーニング、劣性NF3の利用、低いNF3解離効率をとりわけ生じる。さらに、インサイチュクリーニング中に生じる高エネルギーイオン衝撃が、ハードウエアの損傷を生じることがある。リモートプラズマクリーニングが、現場クリーニングの欠点が軽減されるとともに、フッ素の利用効率がはるかに低く、プロセスのオーナーシップの総コストが増加する。これらの課題により、産業界におけるNF3系チャンバークリーニングがさらに広く採用されるのを妨げられることがある。
チャンバークリーニングに加えて、エッチングプロセスは、IC及びMEMS加工等の電子装置製造にも広く使用される。多種多様な材料が、基材から除去又はエッチングされる。現在のところ、乾式及び湿式エッチングプロセスが使用されている。湿式エッチングプロセスでは、材料をエッチングするのに攻撃的化学溶液が使用されている。湿式エッチングが産業界で数十年の間使用されてきたが、化学薬品及び水資源の高消費量、環境的、健康及び安全の問題、排水処理の高コストが、顕著な欠点となることがある。乾式処理には、熱エッチング法及びプラズマエッチング法などがあげられる。電力消費及び反応性ガス利用は、現在の乾式エッチング処理における継続している課題に含まれる。
コーティングした基材の少なくとも一部分から物質を除去するための方法を、ここで開示する。本明細書に記載の方法を、基材の少なくとも一部分から物質を除去するために使用できる。この基材は、反応器及び/又はそこに含まれるフィクスチャであり、例えば半導体材料を含む基材の付着又は処理で使用される。別の実施態様によれば、本明細書で記載される方法は、基材、例えば半導体材料自体から物質を除去(例えばエッチング)するのに使用できる。
一面において、反応器を清浄にする方法であって、表面の少なくとも一部分が、物質でコーティングされている前記反応器を準備することと、第一電極及び第二電極を、目標領域内となるように、前記反応器内又は前記反応器に近接して設けることと、反応ガスを含むガス混合物を前記目標領域に通すが、前記反応ガスの電子親和力が0より大きいものであることと、前記第一電極又は第二電極のうちの少なくとも一つにエネルギーを供給して、前記目標領域内に電子を生成するが、前記電子の少なくとも一部分が前記反応ガスの少なくとも一部分に結合することにより負に帯電した清浄ガスを形成することと、前記物質を前記負に帯電した清浄ガスと接触させ、前記負に帯電した清浄ガスを前記物質と反応させて少なくとも一種の揮発性生成物を形成することと、前記少なくとも一種の揮発性生成物を前記反応器から取り出すこととを含む方法が提供される。
もう1つの面において、反応器の表面の少なくとも一部分から物質を除去する方法であって、 少なくとも一つの電極と、少なくとも一部分が接地されている表面とを含む前記反応器を準備する工程と、反応ガス、必要に応じて不活性希釈ガス及び必要に応じて添加ガスを含むガス混合物を前記反応器に導入する工程と、前記少なくとも一つの電極及び/又は前記表面に電圧を供給して電子を発生させる工程であって、前記電子の少なくとも一部分が前記反応ガスの少なくとも一部分に結合することにより負に帯電した清浄ガスを形成する工程と、前記物質を前記負に帯電した清浄ガスと接触させ、前記負に帯電した清浄ガスを前記物質と反応させて少なくとも一種の揮発性生成物を形成する工程と、前記少なくとも一種の揮発性生成物を前記反応器から取り出す工程とを含む方法が提供される。
別の面において、反応器の表面の少なくとも一部分から物質を除去する方法であって、反応ガスを、前記反応器の外側であるリモートチャンバーに入れ、前記反応ガスを前記リモートチャンバーにおいて活性化させて反応性種を形成する工程と、少なくとも一つの電極と、少なくとも一部分が接地されている表面とを含む前記反応器を準備する工程と、反応ガス、反応性種及び必要に応じて不活性希釈ガスを含むガス混合物を前記反応器に導入する工程と、前記少なくとも一つの電極及び/又は前記表面に電圧を供給して電子を発生させる工程であって、前記電子の少なくとも一部分が前記反応ガスの少なくとも一部分に結合することにより負に帯電した清浄ガスを形成する工程と、前記物質を前記負に帯電した清浄ガスと接触させ、前記負に帯電した清浄ガスを前記物質と反応させて少なくとも一種の揮発性生成物を形成する工程と、前記少なくとも一種の揮発性生成物を前記反応器から取り出す工程とを含む方法が提供される。
さらに別の面において、半導体材料を含む基材から物質を除去する方法であって、表面の少なくとも一部分に除去すべき物質をコーティングした基材を用意する工程と、第一電極及び第二電極を前記基材に隣接して準備する工程であって、前記第一電極及び前記第二電極が目標領域内にある工程と、反応ガスを含むガス混合物を前記目標領域に通す工程であって、前記反応ガスの電子親和力が0より大きいものである工程と、エネルギーを前記第一電極又は第二電極のうちの少なくとも一つに供給して前記目標領域内に電子を発生させる工程であって、前記電子の少なくとも一部分が前記反応ガスの少なくとも一部分に結合することにより、負に帯電したエッチングガスを形成する工程と、前記物質を前記負に帯電したクリーニングガスと接触させる工程であって、前記負に帯電したエッチングガスを前記物質と反応させて少なくとも一種の揮発性生成物を形成する工程と、前記少なくとも一種の揮発性生成物を前記目標領域から取り出す工程とを含む方法が提供される。
本明細書に記載の方法は、半導体製造において、半導体材料を含む基材の少なくとも一部分から物質を除去(例えば、エッチング)し、そこに入れられている反応器及び/又はフィクスチャを清浄にするのに有用である。したがって、エッチングの実施態様に好適な基材には、例えば半導体材料等があり、一方、クリーニング実施態様に好適な基材には、例えばCVD及び/又はALDプロセス用反応器の表面などがある。本明細書に記載の方法のどちらかの実施態様においても、物質を、電子結合により形成された負に帯電されたガスにより基材の少なくとも一部分から効果的に除去できる。どの物質を除去するかは、基材の性質に依存する(例えば、反応器対半導体材料)。特定のエッチング実施態様によれば、除去する物質は、基材自体と同一である。これらの実施態様によれば、基材の少なくとも一部分をマスクして、基材の表面のそのまま維持する部分を保護する。
用語「基材」は、その上に堆積される物質の下地となる固体材料を意味する。基材には、反応器内及び/又はそこに含まれるフィクスチャの表面の少なくとも一部分であるか、又は半導体材料などがあるが、これらには限定されない。後者の実施態様では、使用できる好適な基材には、半導体材料、例えば、ガリウムヒ素(「GaAs」)、ボロニトライド(「BN」)シリコン、並びにシリコン含有組成物、例えば結晶シリコン、多結晶シリコン、ポリシリコン、非晶質シリコン、エピタキシャルシリコン、二酸化ケイ素(「SiO2」)、炭化ケイ素(「SiC」)、オキシ炭化ケイ素(「SiOC」)、窒化ケイ素(「SiN」)炭窒化ケイ素(「SiCN」)、オルガノシリケートガラス(「OSG」)、オルガノフルオロシリケートガラス(「OFSG」)、フルオロシリケートガラス(「FSG」)、並びに他の適当な基材又はそれらの混合物、例えば特定の元素、例えばホウ素、リン、ヒ素及びガリウム(これらには限定されない)でドーピングしたものなどがあるが、これには限定されない。基材は、さらに薄膜を適用した種々の層、例えば、反射防止コーティング、フォトレジスト、有機ポリマー、フルオロカーボンポリマー、多孔質有機及び無機材料、金属、例えば銅及びアルミニウム、又は拡散バリヤー層、例えばTiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN、TiSiN、TaSiN、SiCN、TiSiCN、TaSiCN又はW(C)Nを含むことができる。特定の実施態様によれば、本方法により、非揮発性物質、例えば、W、Ti、SiO2、TiO2、SiON、SiC、オルガノシリケートガラス、フッ素ドープシリケートガラス、多孔質低誘電定数材料、ポリシリコン、非晶質シリコン、SiN、WN、Al23、HfO2、ZrO2、HfSiO4、タンタル酸ビスマスストロンチウム(SBT)、チタン酸ストロンチウムバリウム(BST)、亜リンチタン酸ジルコニウム(PZT)、処理残留物、例えば、ポストエッチ又はポストイオン注入フォトレジスト材料及び側壁パッシベーション膜、又は基材の少なくとも一部分から上に付着した半導体材料又は薄膜として使用される本明細書に記載の材料が除去されるが、これらには限定されない。
除去する物質は、非揮発性物質から、反応器真空ポンプ又は他の手段により容易に除去できる揮発性生成物に転化される。本明細書で使用される用語「揮発性生成物」は、除去すべき物質と負に帯電したエッチングガスとの間の反応の反応生成物及び副生成物に関する。すなわち、物質は、基材の少なくとも一部分から、基材を負に帯電したエッチングガスと、物質と反応し且つ揮発性生成物を形成するのに十分な条件下で接触させることにより除去できる。
除去する物質を有する基材を、とりわけ反応ガスを含むガス混合物で処理する。本明細書で使用される用語「反応ガス」とは、電子親和力が0を超え、且つ電子付着により使用且つ処理でき、反応ガス分子が解離されることにより負に帯電したガスを形成できる、解離電子付着能を有するガスを意味する。以下に、ガス混合物が、反応ガスNF3及び不活性希釈ガスN2を含む特定の実施態様である。この実施態様によれば、負に帯電したフッ素イオンF-が、反応式(1)に示すようにNF3分子の解離付着プロセスを介して形成される:
NF3(g)+e-→NF2(g)+F-(g) (1)
次に、負F-イオンは、例えば反応器内の接地された内面、又は半導体材料自体であることができるアノードにドリフトする。アノードで、式(1)におけるF-のような負に帯電したイオンは、活性種として作用することができ、次に、除去する物質、例えば下式(2)におけるSiO2と反応して、一種以上の揮発性生成物、例えば式(2)におけるSiF4及びO2を形成する:
4F-(g)+SiO2(s)→SiF4(g)+O2(g)+4e- (2)
反応(2)の副生成物としての自由電子を、接地したアノード又は半導体材料で中和できる。このプロセス中、不活性ガス(例えば、N2)の影響は、電子親和力が小さいか、又はゼロであるため、極めて小さいか、又は無視できる程度であることができる。
ここに記載の方法は、例えば半導体材料を含む基材から一種以上の物質を選択的に除去するのに使用できる。従来から、湿式ストリッピング及び/又はプラズマエッチングが、これらのウエハ製造プロセスにおいて使用されている。従来の方法に対して、負に帯電した清浄ガスにより、以下の利点の少なくとも一つが得られる:高エッチング速度;低運転コスト;高生産性;基材の損傷及び汚染の最小化;並びに低資本コスト。例えば、本明細書に記載の方法は、本明細書に記載のもの等の半導体材料から物質を除去するのに使用できる。一定の実施態様によれば、除去する物質には、シリコン又はシリコン含有誘電材料、金属及び導電体、例えばW、Al、WN、Ta、TaN、有機材料、例えばフォトレジスト及び低k誘電体、例えばSILK(商標)またはVELOX(商標)などがあるが、これらには限定されない。エッチングプロセスは、パターン及び/又は異方性エッチングを介してウエハの選択された領域から、又は平坦化、レジストストリッピング/アッシング及びウエハクリーニングのためにウエハ全体から除去するのに使用できる。
本明細書に記載の方法は、種々のチャンバークリーニング又はエッチングプロセスに有用である。例えば、一実施態様によれば、従来の現場プラズマ又は熱チャンバークリーニング又はエッチング法の代替チャンバークリーニング法として使用できる。この実施態様によれば、反応ガス、必要に応じて不活性希釈ガス及び/又は必要に応じて添加ガスを含むガス混合物は、一定の実施態様において、半導体材料が入っていてもよい反応器内の電子付着により負に帯電したクリーニングガスを構成できる。チャンバー内の電子放出電極をカソードとして使用でき、チャンバーの壁を接地してアノードとしての役割を果たすことができる。この実施態様又は他の実施態様によれば、除去すべき物質を含む半導体材料は、アノードとしての役割を果たすこともできる。エネルギー源、例えばDC電圧を2つの電極間に印加したとき、例えば0〜10eVの範囲の低エネルギー電子が、電子放出電極から放出され、電界に沿って接地チャンバー壁又は半導体材料にドリフトする。この電子ドリフトの間、一部の反応ガス分子が電子を捕捉し、次に活性種としての役割を果たす、イオン含有の負に帯電したクリーニングガスを形成する。これらのガスについての電子付着プロセスは、発熱反応である。次に、負に帯電したクリーニングガスを、電界ドリフトにより堆積反応器の内面又は半導体材料に優先的に吸着でき、したがって、反応ガスの効率及び除去速度を増加できる。さらに、比較的低エネルギーで負に帯電したクリーニングガスを使用する電子付着プロセスは、チャンバー及びそこに入れたフィクスチャの損傷、又は高エネルギーの正イオン衝撃により典型的に生じる半導体材料の損傷を最小限に抑えることができる。
別の実施態様によれば、本方法を使用して、リモートプラズマクリーニング又はエッチングを高めることができる。本明細書で使用される用語「リモートプラズマ」は、例えばリモートチャンバーにおける反応器の外側でのプラズマの発生に関する。リモートプラズマクリーニング又はエッチングにおいては、比較的高いパワー範囲(例えば、100〜14,000W)でのRF又はマイクロ波ソース(これには限定されない)等のエネルギー源を使用して、リモートチャンバーにおいて、反応ガス、例えば本明細書に開示されている反応ガスのいずれかを用いて反応種を含有する強力なプラズマを発生させる。これらの実施態様において、ガス混合物は、電子付着前にリモートチャンバーにおいて活性化して負に帯電したクリーニングガスを形成した反応種、すなわち、反応性イオン又は反応性原子を含むことができる。これらの実施態様によれば、反応種及び/又は反応ガス分子の電子付着により、チャンバークリーニング又はエッチングに使用されるリモート発生プラズマの効率が高まる。例えば、電子付着プロセスを、リモートプラズマ発生器に対して下流に適用することにより、リモートプラズマ発生器から出るF原子及び/又はF2分子等の中性反応種が、負に帯電したイオンを形成する。負に帯電したイオンは、ある実施態様では半導体材料を含有することがある付着チャンバー内の付着残留物をクリーニングするための活性剤としての役割を果たすことができる。さらに、F-等の負に帯電した反応種は、容易に再結合してF2等の中性分子を形成しないことがある。さらに、F2等の再結合副生成物を、中性カウンター部(F2)よりも反応性であるF2 -に転化できる。改善されたクリーニング又はエッチング効率は、クリーン時間及びクリーンガス利用を減少させるだけでなく、チャンバークリーニングプロセスからの廃液放出のスクラビングロードを減少する。したがって、チャンバークリーニング又はエッチングプロセスのオーナーシップ(COO)の総コストが減少する。
さらなる実施態様によれば、本方法を、リモートプラズマクリーニング又はリモートプラズマエッチングの代替法として使用できる。この実施態様によれば、反応ガスを含むガス混合物を目標領域及び/又はリモート負イオン発生器を通過させる。負イオン発生器は、カソード及びアノードとしての役割を果たす第一電極及び第二電極を備えている。リモート負イオン発生器の一例が、同時係属米国出願第10/819,277号に示されている。この出願は、現在本発明の譲受人に譲渡されており、その全体は、引用することにより、本明細書の内容とする。ガス混合物をリモート負イオン発生器を通過させる実施態様において、リモート負イオン発生器の出口は、一定の実施態様によれば半導体材料が入っていてもよい反応器と流体導通していてよい。
上記したように、エネルギーを、電極の少なくとも一方、例えば第一電極に、第一電極が電子を発生するのに十分なエネルギーを供給する。一定の実施態様によれば、エネルギー源は、電気エネルギー又は電圧ソース、例えばAC又はDCソースであることができる。他のエネルギー源、例えば電磁エネルギー源、熱エネルギー源又は光エネルギー源を、単独で使用するか、又は上記したエネルギー源のいずれかと組み合わせて使用してもよい。エネルギー源は、一定でもよく、又は交互パルスでもよい。本明細書に記載の一定の実施態様によれば、第一電極又はカソードとしての役割を果たす電極を、第一電圧レベルに接続するか、又は第二電極又はアノードとしての役割を果たす電極を、第二電圧レベルに接続させる。別の実施態様によれば、第一及び第二電極は、カソードのような作用とアノードのような作用との間を交互におこなうものでもよい。電圧レベルの差により、電位におけるバイアスが生じる。第一又は第二電圧レベルのうちの一つは、ゼロでもよい。すなわち、2つの電極のどちらかが接地されていてもよい。これに関連して、第二電極は、実際の電極でなくて、むしろ反応器内の接地壁及び/又は反応器内のフィクスチャ又は半導体材料自体でもよい。
電子付着により負に帯電したイオンを生成するために、比較的多量の電子を生成させる必要がある。これに関連して、電子は、これらには限定されないけれども、カソード放出、ガス放電又はそれらの組み合わせ等の種々の方法により発生させる。これらの電子発生方法のうち、どの方法を選択するかは、主に発生電子の効率及びエネルギーレベルに依存する。
上記したように、反応ガスがフッ素含有ガスNF3を含む実施態様については、電子付着を介して最も効率的にイオン生成することは、エネルギーがほぼ2eVである自由電子を使用することにより達成できる。これらの実施態様によれば、このような低エネルギーレベル電子は、カソード放出及び/又はガス放電により発生できる。カソード放出により電子発生させる実施態様については、これらの実施態様には、電界放出、熱放射、熱電界放出、光放出及び電子又はイオンビーム放出などがある。
電界放出では、電子を放出電極の表面から発生させるためにエネルギーバリヤーを克服するのに十分高い強度であるベース電極に対して放出電極について負バイアスである電界を加える。一定の実施態様によれば、0.1〜50kV又は2〜30kVのDC電圧を、2つの電極間に印加する。これらの実施態様によれば、電極間の距離は、0.1〜30cm又は0.5〜5cmでよい。
一方、熱放射では、高温を用いて放出電極において電子を活性化させ、放出電極の材料において電子を金属結合から分離する。一定の好ましい実施態様によれば、放出電極の温度は、800〜3500℃又は800〜1500℃の範囲であることができる。放出電極を、種々の方法により高温にしてもよいし、及び/又は高温に維持してもよい。これらの方法には、AC又はDC電流を電極を通過させて直接加熱;カソード表面を発熱体、IR線又はこれらの組み合わせで加熱した電気的に絶縁した熱表面と接触させる等の間接加熱などがあるが、これらには限定されない。
熱電界放出の場合、電界及び高温を加える。したがって、熱電界放出には、純粋な電界放出及び純粋な熱放射と同量の電子を発生させるためにより少ない電界及びより低い電極温度が必要なことがある。電子の発生に熱電界放出を使用する実施態様によれば、カソードとしての役割を果たす第一電極の温度は、周囲温度〜3500℃又は150〜1500℃の範囲でよい。これらの実施態様によれば、電圧は、0.01〜20kV又は0.1〜10kVの範囲でよい。
電子を発生するのにカソード放出機構を使用する実施態様によれば、2つの電極間に加える電圧は、一定であっても、又はパルス化されたものでもよい。電圧パルスの周波数は、0〜100kHzの範囲でよい。パルス電圧を加えながら、2つの電極間のアーク放電の傾向を減少させることができ、印加電圧を増加させ、カソード放出を強化できる。
上記したように、電子を、アノードとしての役割を果たす第二電極に対して負のバイアスを有するとき、カソードとしての役割を果たす第一電極から発生できる。特定の実施態様によれば、第二電極は、接地チャンバー壁及び/又は反応器内の接地フィクスチャである。別の実施態様によれば、第二電極は、エッチングされる半導体材料であることができる。電子を発生させるのにカソード放出機構を使用する実施態様によれば、電極材料は、比較的低い電子放出エネルギー又は仕事関数及び高安定性を処理条件下で有する導電材料から構成することができる。好適な材料には、ニッケル、イリジウム及び酸化イリジウムなどがある。電界放出が含まれる実施態様によれば、電極は、好ましくは細いワイヤ又は鋭い先端等の大きな表面曲率を有する形状寸法として電極表面付近の電界を強化する。形状寸法のさらなる例が、現在本発明の譲受人に譲渡されている同時係属米国出願第10/425,405号に記載されており、引用することにより、その全体を本明細書の内容とする。
また、低エネルギー電子は、放出された電子のエネルギーレベルは、気相の圧力により調整できる、気相放電により発生することもできる。これらの実施態様には、熱放電、光放電及び種々のアバランシェ放電、例えばグロー放電、アーク放電、スパーク放電及びコロナ放電などがある。これらの実施態様によれば、チャンバークリーニング又はエッチングに使用される気相は、反応ガス及び電子を供与するのに使用される不活性希釈ガスを含むことができる。ここで、不活性希釈ガスの電子親和力は、反応ガスよりも顕著に低い。気相放電を含む一つの特定の実施態様によれば、高周波数パルス電圧を、第一電極と第二電極との間に印加することができ、電子を、2つの電極の間のガス混合物から発生させ、その後アノードの方向にドリフトする。電子ドリフト中、これらの電子の一部分が反応ガス分子上に付着し、電子付着により負に帯電したイオンを形成する。さらに、一部の正のイオンも、不活性ガスのイオン化により生成し、次にアノードの方向にドリフトし、アノード表面で中和させる。
上記したように、反応ガス、必要に応じて不活性ガス及び必要に応じて添加ガスを含むガス混合物を、一般的にチャンバークリーニング又はエッチング用のフィードガスとして使用する。どちらかの実施態様によれば、0を超える一定の電子親和力を有する反応ガスを使用して、電子付着により処理でき、反応ガス分子が解離して負に帯電したガスを形成できる解離電子付着能を有する。好適なガスとしては、例えば、ハロゲン含有ガス、例えば、フッ素含有ガス、例えば、NF3、F2、XeF2、HF、塩素含有ガス、例えば、Cl2およびHCl、臭素含有ガス、例えば、HBrおよびBr2、ヨウ素含有ガス、例えば、HIおよびI2、混合ハロゲンガス、例えば、ClF、ClF3、HF、SF6、BrF3、BF3、並びに式NFnCl3-n(式中、nは1〜2の範囲の数である)で表される化合物、フルオロカーボン、例えば、CF4、C26、C38、C48、オキシフルオロカーボン、例えば、C48OおよびCOF2、オキシハイドロフルオロカーボン、例えば、ヘキサフルオロペンタンジオン(Hhfac)(CF3C(O)CH2C(O)CF3、又はC5226)、オキシクロロカーボン、例えば、ヘキサフルオロアセトン(CF3C(O)CF3)及びヘキサクロロアセトン(CCl3C(O)CCl3)並びに一般式Cαβγδε(式中、X及びYは、ハロゲン原子F、Cl、BrおよびIのうちの一つであり、αは、1〜6の数であり、βは、0〜13の数であり、γ+δは、1〜14の数であり、εは、1〜6の数である)で表される酸素、水素及びハロゲン混合化合物などがあるが、これらには限定されない。反応ガスのさらに他の例には、一般式CabClc(式中、「a」は1〜6の数であり、「b」は0〜13の数であり、「c」は1〜14の数である)で表されるクロロカーボン及びハイドロクロロカーボンなどがある。具体的なクロロカーボン及びハイドロクロロカーボンとしては、例えばトランス−ジクロロエチレンC22Cl2(Trans−LC(登録商標))、シス−ジクロロエチレン、1,1−ジクロロエチレン、1,1,1−トリクロロエタン(C23Cl3)及びテトラクロロエチレン(C2Cl4)などが挙げられる。反応ガスのさらなる例としては、水素含有ガス、窒素含有ガス及びそれらの混合物、例えば、NH3、N2+H2、ハイドロカーボン類、例えば、CH4、C36等、アミン類、例えば、NRxy(式中、「x」は1〜3の数であり、「y」は「3−x」であり、Rは炭素数1〜12のアルキル基(これには限定されない)等の官能基である)などがある。上記したた反応ガスの他に、一定の電子親和力を有し且つ固有的に反応性があるか、又は電子付着により活性種を形成して固体付着残留物を少なくとも一種の揮発性生成物に転化できる他のガスを、本明細書に記載の方法に適用できる可能性がある。
特定の実施態様によれば、不活性希釈ガス、又は希釈ガスを、ガス混合物に添加できる。これらの実施態様によれば、不活性希釈ガスは、ガス混合物に含有される反応ガスよりも小さい電子親和力を有する。好適な不活性希釈ガスには、N2、Ar、He、Ne、Kr、Xe及びそれらの混合物などがあるが、これらには限定されない。ガス混合物内の不活性希釈ガスの濃度は、0〜99.9容積%または1〜99容積%の範囲であることができる。
特定の実施態様によれば、ガス混合物は、添加ガスを含むことができる。用語「添加ガス」は、反応ガスとは異なり、処理条件下で解離付着ができないガスを意味する。添加ガスには、酸素含有ガス、例えば、O2、O3、CO、CO2、NO、N2O及びNO2などがある。ガス混合物内の添加ガスの濃度は、0〜99.9容積%又は1〜99容積%の範囲であることができる。
ガス混合物内の反応ガス、任意の添加ガス及び任意の不活性希釈ガスの選択は、除去すべき物質に依存することがある。除去する物質が、例えば、単結晶シリコン、多結晶シリコン、非晶質シリコン、ホウ素、リン及びヒ素等の元素をドープした上記材料及びそれらの組み合わせから選択する実施態様によれば、ガス混合物は、一定のハロゲン含有ガス、例えばF2、NF3、XeF2、CF4、C26、C38、C48、COF2、C12、Br2、HBr、HI、HF、ClF3、ClF、BrF3、Cl2及びHClから選択された一種以上の反応ガスを含むことができる。これらの実施態様によれば、ガス混合物は、さらに一種以上の添加ガス、例えばO2及び/又は一種以上の不活性希釈ガス、例えばAr及びHeを含むことができる。除去する物質がシリコン含有誘電材料、例えばSiO2、SiN、SiON、SiC、オルガノシリケートガラス(OSG)、例えば、BLACK DIAMOND(商標)及びDEMS(商標)及びフッ素ドープシリケートガラス(FSG)、ホウ素ドープシリケートガラス(BSG)、未ドープシリケートガラス(USG)、DEMS、多孔質低k誘電材料、例えばPDEMS(商標)及びMESOELK(商標)である実施態様には、ガス混合物は、ハロゲン含有ガス、例えばF2、NF3、XeF2、CF4、C26、C38、C48、COF2、C12、Br2、HBr、HI、HF、ClF3、ClF、BrF3、Cl2及びHClから選択された一種以上の反応ガスを含むことができる。これらの実施態様によれば、ガス混合物は、さらに一種以上の添加ガス、例えばO2及び/又は一種以上の不活性希釈ガス、例えばAr及びHeを含むことができる。除去する物質が、有機ポリマー、例えばフォトレジスト、低k誘電材料、フルオロカーボンポリマー、例えばTEFLON(登録商標)、ポストエッチ残留物、透明導電ポリマー及び/又は保護ポリマーなどである実施態様においては、ガス混合物は、水素含有ガス、窒素含有ガス、例えば、NH3又はN2+H2、ハイドロカーボン類、例えば、CH4又はC36等、アミン類、例えば、NRxy(式中、xは1〜3の数であり、yは3−xであり、Rは炭素数1〜12のアルキル基である)から選択された一種以上の反応ガスを含有することができる。除去する物質が有機ポリマー、例えばフォトレジスト、低k誘電材料、フルオロカーボンポリマー、ポストエッチ残留物、ポストイオン注入残留物、透明導電性ポリマー及び保護ポリマーなどである実施態様によれば、ガス混合物は、ハロゲン含有ガス、例えばF2、NF3、XeF2、CF4、C26、C38、C48、COF2、C12、Br2、HBr、HI、HF、ClF3、ClF、BrF3、Cl2及びHClから選択された一種以上の反応ガス、並びに酸素含有ガス、例えばO2及びO3から選択された一種以上の添加ガスを含むことができる。これらの実施態様によれば、ガス混合物は、さらに不活性希釈ガス、例えばN2、Ar又はHeを含むことができる。除去する物質が金属又は導電性材料、例えばW、WN、WSi、Ta、TaN、Ti、TiSi、ITO(酸化インジウム錫)、Cu、Al及びそれらの組み合わせなどである実施態様によれば、ガス混合物は、ハロゲン含有ガス、例えばF2、NF3、XeF2、CF4、C26、C38、C48、COF2、C12、Br2、HBr、HI、HF、ClF3、ClF、BrF3、Cl2及びHClから選択された一種以上の反応ガスを含むことができる。これらの実施態様によれば、ガス混合物は、さらに一種以上の添加ガス、例えばO2及び/又は一種以上の不活性希釈ガス、例えばAr及びHeを含むことができる。除去する物質が金属又は導電性材料、例えばW、WN、WSi、Ta、TaN、Ti、TiSi、ITO(酸化インジウム錫)、Cu、Al及びそれらの組み合わせなどである実施態様によれば、ガス混合物は、オキシフルオロカーボン(例えば、ヘキサフルオロペンタジオン(Hhfac)(CF3C(O)CH2C(O)CF3又はC5226))、オキシクロロカーボン、例えばヘキサフルオロアセトン(CF3C(O)CF3)及びヘキサクロロアセトン(CCl3C(O)CCl3)又は混合ハロゲン化合物から選択された一種以上の反応ガスを含有することができる。除去する物質が金属酸化物、金属窒化物、金属酸窒化物、金属ケイ化物、チッソ含有金属シリケート及びそれらの組み合わせである実施態様によれば、ガス混合物は、ハロゲン含有ガス、例えばF2、NF3、XeF2、CF4、C26、C38、C48、COF2、C12、Br2、HBr、HI、HF、ClF3、ClF、BrF3、Cl2及びHClから選択された一種以上の反応ガスを含むことができる。これらの実施態様によれば、ガス混合物は、さらに一種以上の添加ガス、例えばO2及び/又は一種以上の不活性希釈ガス、例えばAr及びHeを含むことができる。除去する物質が金属酸化物、金属窒化物、金属酸化窒化物、金属ケイ酸塩、窒素含有金属ケイ酸及びそれらの組み合わせである実施態様によれば、ガス混合物は、オキシフルオロカーボン、例えば、ヘキサフルオロペンタジオン(Hhfacとしても知られている)(CF3C(O)CH2C(O)CF3又はC5226))、オキシクロロカーボン、例えばヘキサフルオロアセトン(CF3C(O)CF3)及びヘキサクロロアセトン(CCl3C(O)CCl3)、又は一般式Cαβγδε(式中、X及びYは、ハロゲン原子F、Cl、BrおよびIのうちの一つであり、αは、1〜6の数であり、βは、0〜13の数であり、γ+δは、1〜14の数であり、εは、1〜6の数である)で表される混合ハロゲン化合物から選択された反応ガスを含有することができる。除去する物質が、金属酸化物、金属窒化物、金属酸化窒化物、金属ケイ酸塩、窒素含有金属ケイ酸塩及びそれらの組み合わせである実施態様によれば、ガス混合物は、一般式CabClc(式中、aは1〜6の数であり、bは0〜13の数であり、cは1〜14の数である)で表されるクロロカーボン又はハイドロクロロカーボンから選択された反応ガスを含有することができる。具体的なクロロカーボン及びハイドロクロロカーボンとしては、例えばトランス−ジクロロエチレンC22Cl2(Trans−LC(登録商標)としても知られている)、シス−ジクロロエチレン、1,1−ジクロロエチレン、1,1,1−トリクロロエタン(C23Cl3)及びテトラクロロエチレン(C2Cl4)などが挙げられる。
一定量の自由電子について、電子付着の効率は、自由電子の加速の減少及び/又はガス混合物に含有される分子と自由電子との間の衝突の確率の増加による増加したガス圧で増加することができる。反応器内の圧力範囲は、10ミリトール〜700トール又は1〜700トールの範囲でよい。特定の実施態様によれば、安全性の面から減圧(例えば、700トール)を使用して、反応ガスのアウトバウンドリークを最小限に抑えることができる。しかしながら、アウトバウンドガス漏れの危険性を減少させるとき、圧力をより高い範囲、例えば、大気圧より高く増加して、電子付着プロセスの効率をさらに高めることができる。別の実施態様によれば、反応器内の圧力が、目標領域、リモートイオン発生器及び/又はリモートプラズマチャンバー内の圧力よりも高くして、負に帯電したクリーニングガスが反応器に流れるのを促進する。
本明細書に開示されている方法は、反応器内のクリーニング及びそこに入れられている種々のフィクスチャ、例えば、流体入り口及び出口、シャワーヘッド、ワークピースプラットホーム等(これらには限定されない)の表面のクリーニングに有用である。これらの実施態様によれば、チャンバーの表面及びそこに入れられているフィクスチャの表面は、種々の異なる材料、例えば、金属、例えば、チタン、アルミニウム、ステンレス鋼、ニッケル又はこれらを含む合金、又は絶縁材料、例えばセラミック、例えば石英又はAl23から構成されるものでよい。
特定の実施態様によれば、本明細書に開示されている方法を使用して、リモートプラズマチャンバークリーニングを高めることができる。これらの実施態様によれば、現場プラズマではなく、リモートプラズマソース、例えば、リモート熱活性ソース、リモート触媒活性化ソース、又は熱と触媒活性を組み合わせたソース(これらには限定されない)を使用して、揮発性生成物を生成できる。リモートプラズマクリーニングでは、クリーニングガスの強力な放電を、付着チャンバーの外で発生させ、次に反応原子及びラジカル等の反応種が下流に流れて付着チャンバーに入って付着残留物を揮発させる。RF又はマイクロ波ソースにより、リモートプラズマソースを発生できる。エネルギー源に応じて、100〜14,000ワットの範囲の電力を使用して、プラズマを活性化できる。特定の実施態様によれば、リモートプラズマ生成反応種を含有する負に帯電したクリーニングガスと付着残留物との間の反応は、反応器を加熱することにより活性化及び/又は高めることができる。これらの実施態様によれば、リモートプラズマ生成反応種を含有する負に帯電したクリーニングガスと、除去する物質との間の反応は、反応器を、反応ガスに含有される一種以上の反応ガスを解離するのに十分な温度に加熱することにより活性化及び/又は高めることができる。除去する物質とのクリーニング反応を活性化するのに必要とされる特定の温度は、採用される反応ガス(単一種又は複数種)に依存する。
リモート熱活性化では、反応ガスは、最初にクリーニングされる容器の外側のリモートチャンバー等の加熱領域を通って流れる。リモートチャンバーにおいては、ガスは、クリーニングされる反応器の外側の容器内の高温と接触することにより解離する。別法には、触媒コンバータを使用して反応ガスを解離するか、熱ヒーティングと触媒クラッキングとを組み合わせて、ガス混合物内の一種以上の反応ガスの活性化を容易にすることなどがある。
別の実施態様によれば、ガス混合物内の一種以上の反応ガスの分子は、強力な光子照射に付して反応ラジカル及び原子を形成することにより解離することができる。例えば、紫外線、遠紫外線及び真空紫外線により、付着残留物における強力な化学結合を破壊するだけでなく、ガス混合物内の一種以上の反応ガスを解離しやすくすることにより、付着残留物の除去速度を増加させることができる。クリーニングプロセスを活性化及び増進する他の手段も使用できる。例えば、光子誘発化学反応を使用して、反応種を生成し、電子付着により生成する負に帯電したクリーニングガスを増進することができる。
特定の実施態様によれば、反応器は、クリーニング操作中及び付着操作中、実質的に同様な操作条件(圧力及び温度)のままであることができる。例えば、反応器がCVD反応器である実施態様では、付着ガスのフローを停止し、反応器及び供給ラインからパージする。必要に応じて、反応器の温度を、最適値に変更できる。しかしながら、好ましいモードにおいては、反応器温度を、付着プロセス条件で維持する。反応ガス、不活性希釈ガス及び/又は反応種を含有することができるガス混合物を、反応器に流入される。反応ガスは、物質、すなわち、反応器表面の破片を揮発化合物に転化し、反応器から除去する。規定時間後、又は反応器で検出された形成した揮発化合物を濃縮後、排出物が許容レベル未満である場合、クリーニングガスフローを停止し、好ましくは反応器及び供給ラインからパージする。次に、付着ガスのフローを再び開始し、CVD付着プロセスを再開する。

Claims (35)

  1. 反応器から物質を除去する方法であって、
    前記物質で表面の少なくとも一部分がコーティングされている前記反応器を準備する工程と、
    第一電極及び第二電極を、それらの電極が目標領域内に位置するように、前記反応器内又は前記反応器に近接して設ける工程と、
    反応ガスを含むガス混合物を前記目標領域に通し、かつその際に前記反応ガスの電子親和力が0より大きいものである工程と、
    前記第一電極又は第二電極のうちの少なくとも一つにエネルギーを供給して、前記目標領域内に電子を生成し、かつその際に前記電子の少なくとも一部分が前記反応ガスの少なくとも一部分に結合することにより負に帯電した清浄ガスを形成する工程と、
    前記物質を前記負に帯電した清浄ガスと接触させ、前記負に帯電した清浄ガスを前記物質と反応させて少なくとも一種の揮発性生成物を形成する工程と、
    前記少なくとも一種の揮発性生成物を前記反応器から取り出す工程と、
    を含む方法。
  2. 前記反応ガスがハロゲンを含む、請求項1に記載の方法。
  3. 前記反応ガスが、NF3、ClF3、ClF、SF6、パーフルオロカーボン、ハイドロフルオロカーボン、オキシフルオロカーボン、ハイポフルオライト、フルオロパーオキシド、フルオロトリオキシド、COF2、NOF、F2、式NFnCl3-n(式中、nは、1〜2の数である)で表される化合物、オキシハイドロフルオロカーボン、塩素含有化合物、臭素含有化合物、ヨウ素含有化合物、一般式Cαβγδε(式中、X及びYは、ハロゲン原子F、Cl、BrおよびIのうちの一つであり、αは、1〜6の数であり、βは、0〜13の数であり、γ+δは、1〜14の数であり、εは、1〜6の数である)で表される酸素、水素及びハロゲン混合化合物、クロロカーボン、ヒドロクロロカーボン、窒素と水素とを含有する化合物、並びにそれらの混合物から選択された少なくとも一員である、請求項1又は2に記載の方法。
  4. 前記反応ガスがNF3である、請求項1〜3のいずれか1項に記載の方法。
  5. 前記ガス混合物が、リモートチャンバー内で活性化された反応種を含む、請求項1〜4のいずれか1項に記載の方法。
  6. 前記ガス混合物が不活性希釈ガスをさらに含む、請求項1〜5のいずれか1項に記載の方法。
  7. 前記不活性希釈ガスが、窒素、ヘリウム、アルゴン、ネオン、キセノン、クリプトン、ラドン及びこれらの混合物から選択された少なくとも一つを含む、請求項6に記載の方法。
  8. 前記不活性希釈ガスの電子親和力が、前記反応ガスの電子親和力より小さい、請求項5又は6に記載の方法。
  9. 前記供給工程におけるエネルギーが、電気エネルギーソース、電磁エネルギーソース、熱エネルギーソース、電気エネルギーソース、光エネルギーソース及びそれらの組み合わせからなる群から選択された少なくとも一つのソースである、請求項1〜8のいずれか1項に記載の方法。
  10. 前記エネルギーが電気エネルギーソースである、請求項1〜9のいずれか1項に記載の方法。
  11. 前記第一電極が接地されている、請求項1〜10のいずれか1項に記載の方法。
  12. 前記第二電極が接地されている、請求項1〜11のいずれか1項に記載の方法。
  13. 前記目標領域が、前記反応器の内側又は前記反応器の外側にある、請求項1〜12のいずれか1項に記載の方法。
  14. 前記電子を、カソード放出、ガス放電及びそれらの組み合わせからなる群から選択された少なくとも一つの方法により前記供給工程で発生させる、請求項1〜13のいずれか1項に記載の方法。
  15. 前記電子を、電界放出、熱放出、熱電界放出、光電子放出及び電子線放出からなる群から選択されたカソード放出法により発生させる、請求項1〜15のいずれか1項に記載の方法。
  16. 前記物質が、W、Ti、SiO2、TiO2、SiON、多結晶シリコン、非晶質シリコン、SiN、WN、Al23、HfO2、ZrO2、HfSiO4及びそれらの混合物から選択された少なくとも一種である、請求項1〜15のいずれか1項に記載の方法。
  17. 反応器の表面の少なくとも一部分から物質を除去する方法であって、
    少なくとも一つの電極と、少なくとも一部分が接地されている表面とを含む前記反応器を準備する工程と、
    反応ガスを含むガス混合物、又は反応ガスと不活性希釈ガスとを含むガス混合物を前記反応器に導入する工程と、
    前記少なくとも一つの電極及び/又は前記表面に電圧を供給して電子を発生させ、その際、前記電子の少なくとも一部分が前記反応ガスの少なくとも一部分に結合することにより負に帯電した清浄ガスを形成する工程と、
    前記物質を前記負に帯電した清浄ガスと接触させ、前記負に帯電した清浄ガスを前記物質と反応させて少なくとも一種の揮発性生成物を形成する工程と、
    前記少なくとも一種の揮発性生成物を前記反応器から取り出す工程と、
    を含む方法。
  18. 前記ガス混合物が反応性種をさらに含む、請求項17に記載の方法。
  19. 前記反応ガスが、NF3、ClF3、ClF、SF6、パーフルオロカーボン、ハイドロフルオロカーボン、オキシフルオロカーボン、ハイポフルオライト、フルオロパーオキシド、フルオロトリオキシド、COF2、NOF、F2、式NFnCl3-n(式中、nは、1〜2の数である)で表される化合物、オキシハイドロフルオロカーボン、塩素含有化合物、臭素含有化合物、ヨウ素含有化合物、一般式Cαβγδε(式中、X及びYは、ハロゲン原子F、Cl、BrおよびIのうちの一つであり、αは、1〜6の数であり、βは、0〜13の数であり、γ+δは、1〜14の数であり、εは、1〜6の数である)で表される酸素、水素及びハロゲン混合化合物、クロロカーボン、ヒドロクロロカーボン、窒素と水素とを含有する化合物、並びにそれらの混合物から選択された少なくとも一員である、請求項17又は18に記載の方法。
  20. 前記反応ガスがNF3である、請求項17〜19のいずれか1項に記載の方法。
  21. 前記物質が、SiO2、TiO2、SiON、W、多結晶シリコン、非晶質シリコン、SiN、WN、Al23、HfO2、ZrO2、HfSiO4及びそれらの混合物から選択された少なくとも一種である、請求項17〜20のいずれか1項に記載の方法。
  22. 前記電圧が、0.01〜50kVの範囲である、請求項17〜21のいずれか1項に記載の方法。
  23. 前記電圧が、0.1〜30kVの範囲である、請求項17〜22のいずれか1項に記載の方法。
  24. 前記電圧がパルス化されている、請求項17〜23のいずれか1項に記載の方法。
  25. 前記ガス混合物の圧力が、1トール〜20psiaの範囲である、請求項17〜24のいずれか1項に記載の方法。
  26. 前記ガス混合物が不活性希釈ガスを含む、請求項17〜25のいずれか1項に記載の方法。
  27. 前記不活性希釈ガスの量が、1〜99容積%の範囲である、請求項17〜26のいずれか1項に記載の方法。
  28. 反応器の表面の少なくとも一部分から物質を除去する方法であって、
    反応器の外側に位置するリモートチャンバーに反応性ガスを入れ、前記反応ガスを前記リモートチャンバーにおいて活性化させて反応性種を形成する工程と、
    少なくとも一つの電極と、少なくとも一部分が接地されている表面とを含む反応器を準備する工程と、
    反応ガス及び反応性種を含むガス混合物、又は反応ガス、反応性種及び不活性希釈ガスを含むガス混合物を前記反応器に導入する工程と、
    前記少なくとも一つの電極及び/又は前記表面に電圧を供給して電子を発生させ、その際、前記電子の少なくとも一部分が前記反応ガスの少なくとも一部分に結合することにより負に帯電した清浄ガスを形成する工程と、
    前記物質を前記負に帯電した清浄ガスと接触させ、前記負に帯電した清浄ガスを前記物質と反応させて少なくとも一種の揮発性生成物を形成する工程と、
    前記少なくとも一種の揮発性生成物を前記反応器から取り出す工程と、
    を含む方法。
  29. 前記活性化工程を、100〜14,000ワットの範囲のパワーを用いておこなう、請求項28に記載の方法。
  30. 半導体材料を含む基材から物質を除去する方法であって、
    表面の少なくとも一部分に前記物質をコーティングした基材を用意する工程と、
    第一電極及び第二電極を前記基材に隣接して準備し、その際前記第一電極及び前記第二電極が目標領域内にあるようにする工程と、
    反応ガスを含むガス混合物を前記目標領域に通し、その際前記反応ガスの電子親和力が0より大きいものである工程と、
    エネルギーを前記第一電極又は第二電極のうちの少なくとも一つに供給して前記目標領域内に電子を生成し、その際前記電子の少なくとも一部分が前記反応ガスの少なくとも一部分に結合することにより、負に帯電したエッチングガスを形成する工程と、
    前記物質を前記負に帯電したエッチングガスと接触させ、その際前記負に帯電したエッチングガスを前記物質と反応させて少なくとも一種の揮発性生成物を形成する工程と、
    前記少なくとも一種の揮発性生成物を前記目標領域から取り出す工程と、
    を含む方法。
  31. 前記反応ガスが、NF3、ClF3、ClF、SF6、パーフルオロカーボン、ハイドロフルオロカーボン、オキシフルオロカーボン、ハイポフルオライト、フルオロパーオキシド、フルオロトリオキシド、COF2、NOF、F2、式NFnCl3-n(式中、nは、1〜2の数である)で表される化合物、オキシハイドロフルオロカーボン、塩素含有化合物、臭素含有化合物、ヨウ素含有化合物、一般式Cαβγδε(式中、X及びYは、ハロゲン原子F、Cl、BrおよびIのうちの一つであり、αは、1〜6の数であり、βは、0〜13の数であり、γ+δは、1〜14の数であり、εは、1〜6の数である)で表される酸素、水素及びハロゲン混合化合物、クロロカーボン、ヒドロクロロカーボン、窒素と水素とを含有する化合物、並びにそれらの混合物から選択された少なくとも一つのものである、請求項30に記載の方法。
  32. 前記反応ガスが不活性希釈ガスをさらに含む、請求項30又は31に記載の方法。
  33. 前記不活性希釈ガスが、窒素、ヘリウム、アルゴン、ネオン、キセノン、クリプトン、ラドン及びこれらの混合物から選択された少なくとも一つを含む、請求項32に記載の方法。
  34. 前記反応ガスが添加ガスをさらに含む、請求項30〜33のいずれか1項に記載の方法。
  35. 前記添加ガスが、O2、O3、CO、CO2、NO、N2O、NO2及びそれらの混合物から選択された少なくとも一種を含む、請求項34に記載の方法。
JP2005125113A 2004-04-29 2005-04-22 電子付着を用いて基材から物質を除去する方法 Pending JP2005317963A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/835,450 US20050241670A1 (en) 2004-04-29 2004-04-29 Method for cleaning a reactor using electron attachment
US11/095,580 US20050241671A1 (en) 2004-04-29 2005-04-01 Method for removing a substance from a substrate using electron attachment

Publications (1)

Publication Number Publication Date
JP2005317963A true JP2005317963A (ja) 2005-11-10

Family

ID=34935878

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005125113A Pending JP2005317963A (ja) 2004-04-29 2005-04-22 電子付着を用いて基材から物質を除去する方法

Country Status (5)

Country Link
US (1) US20050241671A1 (ja)
EP (1) EP1598881A3 (ja)
JP (1) JP2005317963A (ja)
KR (1) KR100644181B1 (ja)
TW (1) TWI263255B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011506119A (ja) * 2007-12-21 2011-03-03 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング 微小電気機械システムの生産方法
JP2011165769A (ja) * 2010-02-05 2011-08-25 Tokyo Electron Ltd 半導体装置の製造方法及びプラズマエッチング装置
WO2012052858A1 (en) * 2010-08-16 2012-04-26 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Etching of oxide materials

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
JP4447419B2 (ja) * 2004-09-29 2010-04-07 Necエレクトロニクス株式会社 半導体装置の製造方法
DE602004026591D1 (de) * 2004-10-12 2010-05-27 Fosber Spa Maschine zum Längsschneiden von bahnförmigen Material, insbesondere Wellpappebahnen
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7422983B2 (en) 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
KR20080050402A (ko) * 2005-08-02 2008-06-05 매사추세츠 인스티튜트 오브 테크놀로지 Nf₃를 사용한 표면 적층물 제거 방법
JP2009503905A (ja) * 2005-08-02 2009-01-29 マサチューセッツ インスティテュート オブ テクノロジー 表面沈着物の除去および化学蒸着(cvd)チャンバーの内部の内部表面の不動態化方法
US20070131899A1 (en) * 2005-12-13 2007-06-14 Jinru Bian Composition for polishing semiconductor layers
KR100706810B1 (ko) * 2006-02-07 2007-04-12 삼성전자주식회사 박박 형성 장치의 세정 방법 및 이를 이용한 박막 형성방법
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20100012153A1 (en) * 2006-07-27 2010-01-21 Takamitsu Shigemoto Method of cleaning film forming apparatus and film forming apparatus
DE112007001814T5 (de) 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
KR100840646B1 (ko) * 2006-12-29 2008-06-24 동부일렉트로닉스 주식회사 시모스 이미지 센서의 제조 방법
JP5048352B2 (ja) * 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR101330707B1 (ko) * 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
TW201044963A (en) * 2010-06-25 2010-12-16 Linco Technology Co Ltd Method of forming EMI shield on plastic workpiece
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US20140166618A1 (en) 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
CN107112223B (zh) * 2014-10-10 2021-05-07 关东电化工业株式会社 硅化合物用蚀刻气体组合物及蚀刻方法
US9673315B2 (en) * 2015-03-24 2017-06-06 Kabushiki Kaisha Toshiba Semiconductor device, inverter circuit, driving device, vehicle, and elevator
KR20160123575A (ko) * 2015-04-16 2016-10-26 삼성전자주식회사 전자 소자 제조 장치와 세정 방법 및 이를 이용한 전자 소자의 제조 방법
JP6600480B2 (ja) * 2015-04-20 2019-10-30 東京エレクトロン株式会社 被処理体を処理する方法
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
JP6896522B2 (ja) * 2017-06-27 2021-06-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード エッチング方法およびプラズマエッチング用材料

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69217829T2 (de) * 1991-11-08 1997-06-12 Fujitsu Ltd Feldemissionsanordnung und Reinigungsverfahren dafür
JPH05291190A (ja) * 1992-04-08 1993-11-05 Tokyo Electron Ltd プラズマ装置
JP3502096B2 (ja) * 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5779926A (en) * 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
JP2882339B2 (ja) * 1996-02-21 1999-04-12 日本電気株式会社 タングステンcvd反応室内のエッチング方法
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6217704B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
JP2000277496A (ja) 1999-03-27 2000-10-06 Sigma Meltec Ltd 金属薄膜のエッチング方法
US6352081B1 (en) * 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
TW507256B (en) * 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
JP3920015B2 (ja) * 2000-09-14 2007-05-30 東京エレクトロン株式会社 Si基板の加工方法
US6828241B2 (en) * 2002-01-07 2004-12-07 Applied Materials, Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US6857433B2 (en) * 2002-07-22 2005-02-22 Air Products And Chemicals, Inc. Process for cleaning a glass-coating reactor using a reactive gas
US20040045577A1 (en) * 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas
US7079370B2 (en) * 2003-04-28 2006-07-18 Air Products And Chemicals, Inc. Apparatus and method for removal of surface oxides via fluxless technique electron attachment and remote ion generation
US7387738B2 (en) * 2003-04-28 2008-06-17 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment for wafer bumping applications
CA2465195C (en) * 2003-04-28 2012-06-19 Air Products And Chemicals, Inc. Electrode assembly for the removal of surface oxides by electron attachment
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011506119A (ja) * 2007-12-21 2011-03-03 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング 微小電気機械システムの生産方法
JP2016086188A (ja) * 2007-12-21 2016-05-19 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツングSolvay Fluor GmbH 微小電気機械システムの生産方法
JP2011165769A (ja) * 2010-02-05 2011-08-25 Tokyo Electron Ltd 半導体装置の製造方法及びプラズマエッチング装置
US8772172B2 (en) 2010-02-05 2014-07-08 Tokyo Electron Limited Semiconductor device manufacturing method and plasma etching apparatus
WO2012052858A1 (en) * 2010-08-16 2012-04-26 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Etching of oxide materials

Also Published As

Publication number Publication date
KR20060047528A (ko) 2006-05-18
EP1598881A3 (en) 2006-06-14
TW200535989A (en) 2005-11-01
US20050241671A1 (en) 2005-11-03
EP1598881A2 (en) 2005-11-23
TWI263255B (en) 2006-10-01
KR100644181B1 (ko) 2006-11-10

Similar Documents

Publication Publication Date Title
KR100644181B1 (ko) 전자 부착을 이용하여 기판으로부터 물질을 제거하는 방법
KR100786611B1 (ko) 원격 플라스마 챔버 세척시의 자유 라디칼 개시제
US7371688B2 (en) Removal of transition metal ternary and/or quaternary barrier materials from a substrate
CN1770390A (zh) 使用电子附着从衬底除去物质的方法
US6331380B1 (en) Method of pattern etching a low K dielectric layer
JP6775569B2 (ja) 半導体製造プロセスチャンバの操作方法
KR100644176B1 (ko) 고 유전상수 재료에 대해 증착실을 세정하는 방법
US6080529A (en) Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
CN100454496C (zh) 清洗衬底表面的方法
US20160181116A1 (en) Selective nitride etch
JPH09232299A (ja) Cvd装置のインシチュウクリーニング
US20120285481A1 (en) Methods of removing a material layer from a substrate using water vapor treatment
JP2009050854A (ja) 窒化チタンの除去方法
TW201405656A (zh) 具有高選擇性之多晶矽及原生氧化層的移除
KR19990083236A (ko) Al/Cu 금속라인상에서 포스트-RIE 폴리머를 제거하는 방법
CN101278072A (zh) 使用nf3除去表面沉积物的方法
TWI727992B (zh) 具有高產能之超高選擇性多晶矽蝕刻
CN1574203A (zh) 从一基底移除残余物的方法
EP1892047A2 (en) Detecting the Endpoint of a Cleaning Process
WO2000024048A1 (en) Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
KR102275996B1 (ko) 하이드로플루오로올레핀 식각 가스 혼합물
Fuller Plasma etching
TWI822194B (zh) 一種晶圓清洗裝置及使用方法
Genut et al. Laser removal of deep submicron-patterned photoresist after RIE of polysilicon, contacts, and vias

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080703

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080708

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081007

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081010

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090310