JP2011165769A - 半導体装置の製造方法及びプラズマエッチング装置 - Google Patents

半導体装置の製造方法及びプラズマエッチング装置 Download PDF

Info

Publication number
JP2011165769A
JP2011165769A JP2010024552A JP2010024552A JP2011165769A JP 2011165769 A JP2011165769 A JP 2011165769A JP 2010024552 A JP2010024552 A JP 2010024552A JP 2010024552 A JP2010024552 A JP 2010024552A JP 2011165769 A JP2011165769 A JP 2011165769A
Authority
JP
Japan
Prior art keywords
gas
flow rate
semiconductor device
manufacturing
time
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010024552A
Other languages
English (en)
Other versions
JP5608384B2 (ja
Inventor
Masato Kushibiki
理人 櫛引
Eiichi Nishimura
栄一 西村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2010024552A priority Critical patent/JP5608384B2/ja
Priority to TW100103440A priority patent/TWI508164B/zh
Priority to CN201110035366.9A priority patent/CN102169823B/zh
Priority to KR1020110009869A priority patent/KR101742324B1/ko
Priority to US13/019,602 priority patent/US8491805B2/en
Publication of JP2011165769A publication Critical patent/JP2011165769A/ja
Priority to US13/947,477 priority patent/US8772172B2/en
Application granted granted Critical
Publication of JP5608384B2 publication Critical patent/JP5608384B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

【課題】微細なパターンを精度良く均一に、かつ、高選択比で形成することのできる半導体装置の製造方法及びプラズマエッチング装置を提供する。
【解決手段】処理チャンバー内に混合ガスからなる処理ガスを供給し、かつ複数種のガスのうち少なくとも1種のガスの流量を第1の時間中第1の流量とする第1工程と、第2の時間中前記第1の流量とは異なる流量の第2流量とする第2工程とからなる1サイクルの工程を、プラズマを途中で消すことなく連続的に少なくとも3回以上繰り返して行い、第1の時間及び第2の時間は、1秒以上15秒以下、第1工程における処理ガスの総流量と、第2工程における前記処理ガスの総流量は、同一若しくは異なる場合は、総流量の差が多い方の総流量の10%以下であり、第1工程と第2工程のいずれにおいても被エッチング膜のエッチングを進行させるガスを処理ガス中に含む半導体装置の製造方法。
【選択図】図1

Description

本発明は、半導体装置の製造方法及びプラズマエッチング装置に関する。
半導体装置の製造工程では、半導体ウエハ等の基板をプラズマエッチング装置の処理チャンバー内に配置し、基板に形成された各種の膜をプラズマエッチングすることが行われている。
プラズマエッチング装置では、半導体ウエハ等の基板を収容した処理チャンバー内を所定圧力の減圧雰囲気とするとともに、所定の処理ガスを処理チャンバー内に供給し、この処理ガスを高周波電界等によってプラズマ化する。そして、この処理ガスのプラズマを基板に作用させることによって、基板に形成された各種の膜をプラズマエッチングする。
また、このようなプラズマエッチング装置等によるプラズマ処理では、処理チャンバー内に供給する混合ガスのうち、エッチングを進行させるSFの供給を一時的に短時間断続的に停止し、この間のエッチングの進行を停止した状態で表面に窒化膜を形成し、これによってアンダーカットを生じることなくシリコンをエッチングする方法が知られている(例えば、特許文献1参照。)。
特公平4−73287号公報
半導体装置においては、56nmから43nmさらに32nm等とその回路パターンの微細化が進んでいる。このため、プラズマエッチングによって形成するパターンは、微細でありかつ、高さの高い又は深さの深いパターンが多くなっており、このようなパターンを精度良く均一に、かつ、高選択比で形成することのできる技術の開発が行われている。しかしながら、例えば選択比とパターン形状はトレードオフの関係になる等の問題があり、高選択比で細く深いホール又は細く高いラインアンドスペース等のパターンを形成することは困難であった。
本発明は、上記従来の事情に対処してなされたもので、微細なパターンを精度良く均一に、かつ、高選択比で形成することのできる半導体装置の製造方法及びプラズマエッチング装置を提供しようとするものである。
本発明に係る半導体装置の製造方法は、基板を処理チャンバー内に収容して前記基板に形成された被エッチング膜をエッチングするプラズマエッチング工程を有する半導体装置の製造方法であって、前記プラズマエッチング工程では、前記処理チャンバー内に所定の複数種のガスの混合ガスからなる処理ガスを供給し、かつ、前記複数種のガスのうち少なくとも1種のガスの流量を第1の時間中第1の流量とする第1工程と、第2の時間中前記第1の流量とは異なる流量の第2流量とする第2工程とからなる1サイクルの工程を、プラズマを途中で消すことなく連続的に少なくとも3回以上繰り返して行い、前記第1の時間及び前記第2の時間は、1秒以上15秒以下、前記第1工程における前記処理ガスの総流量と、前記第2工程における前記処理ガスの総流量は、同一、若しくは異なる場合は、総流量の差が多い方の総流量の10%以下であり、前記第1工程と前記第2工程のいずれにおいても前記被エッチング膜のエッチングを進行させるガスを前記処理ガス中に含むことを特徴とする。
本発明によれば、微細なパターンを精度良く均一に、かつ、高選択比で形成することのできる半導体装置の製造方法及びプラズマエッチング装置を提供することができる。
本発明の一実施形態に係るプラズマエッチング装置の構成を模式的に示す図。 本発明の一実施形態に係る半導体装置の製造方法を説明するための図。 実施例1、比較例1、比較例2のパターンの電子顕微鏡写真。 エッチングレートの面内均一性を調べた結果を示すグラフ。 エッチングレートの面内均一性を調べた結果を示すグラフ。 エッチングレートの面内均一性を調べた結果を示すグラフ。 エッチングレートの面内均一性を調べた結果を示すグラフ。 エッチングレートの面内均一性を調べた結果を示すグラフ。 エッチングレートの面内均一性を調べた結果を示すグラフ。 エッチングレートの面内均一性を調べた結果を示すグラフ。 エッチングレートの面内均一性を調べた結果を示すグラフ。 エッチングレートの面内均一性を調べた結果を示すグラフ。 エッチングレートの面内均一性を調べた結果を示すグラフ。 工程を切り替えた際のプラズマの発光強度の時間的変化を示すグラフ。 工程を切り替えた際のプラズマの発光強度の時間的変化を示すグラフ。 工程を切り替えた際のプラズマの発光強度の時間的変化を示すグラフ。 工程を切り替えた際のプラズマの発光強度の時間的変化を示すグラフ。 工程を切り替えた際のプラズマの発光強度の時間的変化を示すグラフ。 実施例2に係る半導体ウエハの構造を示す図。 実施例2、比較例3、比較例4のパターンの電子顕微鏡写真。 実施例3に係る半導体ウエハの構造を示す図。 実施例3のパターンの電子顕微鏡写真。
以下、本発明の詳細を、図面を参照して実施形態について説明する。
図1は、本発明の一実施形態に係るプラズマエッチング装置200の構成を模式的に示すものである。プラズマエッチング装置200は、気密に構成され、電気的に接地電位とされた処理チャンバー1を有している。この処理チャンバー1は、円筒状とされ、例えば表面を陽極酸化処理されたアルミニウム等から構成されている。
処理チャンバー1内には、被処理基板である半導体ウエハWを水平に支持する載置台2が設けられている。載置台2は例えば表面を陽極酸化処理されたアルミニウム等で構成されており、下部電極としての機能を有する。この載置台2は、絶縁板3を介して導体の支持台4に支持されている。また、載置台2の上方の外周には、例えば単結晶シリコンで形成されたフォーカスリング5が設けられている。さらに、載置台2及び支持台4の周囲を囲むように、例えば石英等からなる円筒状の内壁部材3aが設けられている。
載置台2には、第1の整合器11aを介して第1の高周波電源10aが接続され、また、第2の整合器11bを介して第2の高周波電源10bが接続されている。第1の高周波電源10aは、プラズマ発生用のものであり、この第1の高周波電源10aからは所定周波数(27MHz以上例えば40MHz)の高周波電力が載置台2に供給されるようになっている。また、第2の高周波電源10bは、イオン引き込み用(バイアス用)のものであり、この第2の高周波電源10bからは第1の高周波電源10aより低い所定周波数(13.56MHz以下、例えば2MHz)の高周波電力が載置台2に供給されるようになっている。一方、載置台2の上方には、載置台2と平行に対向するように、上部電極としての機能を有するシャワーヘッド16が設けられており、シャワーヘッド16と載置台2は、一対の電極(上部電極と下部電極)として機能するようになっている。
載置台2の上面には、半導体ウエハWを静電吸着するための静電チャック6が設けられている。この静電チャック6は絶縁体6bの間に電極6aを介在させて構成されており、電極6aには直流電源12が接続されている。そして電極6aに直流電源12から直流電圧が印加されることにより、クーロン力等によって半導体ウエハWが吸着されるよう構成されている。
支持台4の内部には、冷媒流路4aが形成されており、冷媒流路4aには、冷媒入口配管4b、冷媒出口配管4cが接続されている。そして、冷媒流路4aの中に適宜の冷媒、例えば冷却水等を循環させることによって、支持台4及び載置台2を所定の温度に制御可能となっている。また、載置台2等を貫通するように、半導体ウエハWの裏面側にヘリウムガス等の冷熱伝達用ガス(バックサイドガス)を供給するためのバックサイドガス供給配管30が設けられており、このバックサイドガス供給配管30は、図示しないバックサイドガス供給源に接続されている。これらの構成によって、載置台2の上面に静電チャック6によって吸着保持された半導体ウエハWを、所定の温度に制御可能となっている。
上記したシャワーヘッド16は、処理チャンバー1の天井部分に設けられている。シャワーヘッド16は、本体部16aと電極板をなす上部天板16bとを備えており、絶縁性部材45を介して処理チャンバー1の上部に支持されている。本体部16aは、導電性材料、例えば表面が陽極酸化処理されたアルミニウムからなり、その下部に上部天板16bを着脱自在に支持できるように構成されている。
本体部16aの内部には、ガス拡散室16cが設けられ、このガス拡散室16cの下部に位置するように、本体部16aの底部には、多数のガス通流孔16dが形成されている。また、上部天板16bには、当該上部天板16bを厚さ方向に貫通するようにガス導入孔16eが、上記したガス通流孔16dと重なるように設けられている。このような構成により、ガス拡散室16cに供給された処理ガスは、ガス通流孔16d及びガス導入孔16eを介して処理チャンバー1内にシャワー状に分散されて供給されるようになっている。なお、本体部16a等には、冷媒を循環させるための図示しない配管が設けられており、プラズマエッチング処理中にシャワーヘッド16を所望温度に冷却できるようになっている。
上記した本体部16aには、ガス拡散室16cへ処理ガスを導入するためのガス導入口16gが形成されている。このガス導入口16gにはガス供給配管15aが接続されており、このガス供給配管15aの他端には、プラズマエッチング用の処理ガスを供給する処理ガス供給源15が接続されている。
ガス供給配管15aには、上流側から順にマスフローコントローラ(MFC)15b、及び開閉弁V1が設けられている。そして、処理ガス供給源15からプラズマエッチングのための処理ガスとして、例えばAr、O、C、HBr、NF、C、CF等のガスが、ガス供給配管15aを介してガス拡散室16cに供給され、このガス拡散室16cから、ガス通流孔16d及びガス導入孔16eを介して処理チャンバー1内にシャワー状に分散されて供給される。
上記した上部電極としてのシャワーヘッド16には、ローパスフィルタ(LPF)51を介して可変直流電源52が電気的に接続されている。この可変直流電源52は、オン・オフスイッチ53により給電のオン・オフが可能となっている。可変直流電源52の電流・電圧ならびにオン・オフスイッチ53のオン・オフは、後述する制御部60によって制御されるようになっている。なお、後述のように、第1の高周波電源10a、第2の高周波電源10bから高周波が載置台2に印加されて処理空間にプラズマが発生する際には、必要に応じて制御部60によりオン・オフスイッチ53がオンとされ、上部電極としてのシャワーヘッド16に所定の直流電圧が印加される。
処理チャンバー1の側壁からシャワーヘッド16の高さ位置よりも上方に延びるように円筒状の接地導体1aが設けられている。この円筒状の接地導体1aは、その上部に天板を有している。
処理チャンバー1の底部には、排気口71が形成されており、この排気口71には、排気管72を介して排気装置73が接続されている。排気装置73は、真空ポンプを有しており、この真空ポンプを作動させることにより処理チャンバー1内を所定の真空度まで減圧することができるようになっている。一方、処理チャンバー1の側壁には、ウエハWの搬入出口74が設けられており、この搬入出口74には、当該搬入出口74を開閉するゲートバルブ75が設けられている。
図中76,77は、着脱自在とされたデポシールドである。デポシールド76は、処理チャンバー1の内壁面に沿って設けられ、デポシールド77は、支持台4及び載置台2の周囲を囲むように設けられている。これらのデポシールド76,77は、処理チャンバー1内壁等にエッチング副生物(デポ)が付着することを防止する役割を有している。
上記構成のプラズマエッチング装置は、制御部60によって、その動作が統括的に制御される。この制御部60には、CPUを備えプラズマエッチング装置の各部を制御するプロセスコントローラ61と、ユーザインターフェース62と、記憶部63とが設けられている。
ユーザインターフェース62は、工程管理者がプラズマエッチング装置を管理するためにコマンドの入力操作を行うキーボードや、プラズマエッチング装置の稼働状況を可視化して表示するディスプレイ等から構成されている。
記憶部63には、プラズマエッチング装置で実行される各種処理をプロセスコントローラ61の制御にて実現するための制御プログラム(ソフトウエア)や処理条件データ等が記憶されたレシピが格納されている。そして、必要に応じて、ユーザインターフェース62からの指示等にて任意のレシピを記憶部63から呼び出してプロセスコントローラ61に実行させることで、プロセスコントローラ61の制御下で、プラズマエッチング装置での所望の処理が行われる。また、制御プログラムや処理条件データ等のレシピは、コンピュータで読取り可能なコンピュータ記憶媒体(例えば、ハードディスク、CD、フレキシブルディスク、半導体メモリ等)などに格納された状態のものを利用したり、或いは、他の装置から、例えば専用回線を介して随時伝送させてオンラインで利用したりすることも可能である。
このように構成されたプラズマエッチング装置で、半導体ウエハWに形成された絶縁膜等をプラズマエッチングする手順について説明する。まず、ゲートバルブ75が開かれ、半導体ウエハWが図示しない搬送ロボット等により、図示しないロードロック室を介して搬入出口74から処理チャンバー1内に搬入され、載置台2上に載置される。この後、搬送ロボットを処理チャンバー1外に退避させ、ゲートバルブ75を閉じる。そして、排気装置73の真空ポンプにより排気口71を介して処理チャンバー1内が排気される。
処理チャンバー1内が所定の真空度になった後、処理チャンバー1内には処理ガス供給源15から所定の処理ガス(エッチングガス)が導入され、処理チャンバー1内が所定の圧力、例えば4.7Pa(35mTorr)に保持され、この状態で第1の高周波電源10aから載置台2に、周波数が例えば40MHzの高周波電力が供給される。また、第2の高周波電源10bからは、イオン引き込みのため、載置台2に周波数が例えば2.0MHzの高周波電力(バイアス用)が供給される。このとき、直流電源12から静電チャック6の電極6aに所定の直流電圧が印加され、半導体ウエハWはクーロン力により吸着される。
この場合に、上述のようにして下部電極である載置台2に高周波電力が印加されることにより、上部電極であるシャワーヘッド16と下部電極である載置台2との間には電界が形成される。半導体ウエハWが存在する処理空間には放電が生じ、それによって形成された処理ガスのプラズマにより、半導体ウエハW上に形成された絶縁膜等がエッチング処理される。この時、必要に応じてオン・オフスイッチ53がオンとされ、可変直流電源52から上部電極としてのシャワーヘッド16に所定の直流電圧が印加される。また、このエッチング処理の際に、処理ガス中の少なくとも1種のガスの流量を、第1流量とした第1工程と、第1流量とは異なる第2流量とした第2工程とからなる1サイクルの工程を、プラズマを途中で消すことなく連続的に少なくとも3回以上繰り返して行う。これらの第1工程及び第2工程についての詳細は、後述する。
そして、上記したエッチング処理が終了すると、高周波電力の供給、直流電圧の供給及び処理ガスの供給が停止され、上記した手順とは逆の手順で、半導体ウエハWが処理チャンバー1内から搬出される。
次に、上記構成のプラズマエッチング装置200を用いて行う半導体装置の製造方法の実施形態について説明する。図2は、本実施形態においてプラズマエッチングによるパターニングを行う半導体ウエハWの構造の例を模式的に示すものである。
図2(a)に示すように、シリコン基板101の表面には、下側から順に、窒化シリコン膜102(厚さ、例えば20nm)、酸化シリコン膜103(厚さ、例えば500nm)、カーボン膜104(厚さ、例えば670nm)、酸化シリコン膜105(厚さ、例えば40nm)、反射防止膜106が形成されている。そして、反射防止膜106の上には、所定形状(本実施形態では、所定間隔で所定の内径を有するホールが形成された形状)にパターニングされた2層のフォトレジスト膜107、フォトレジスト膜108が形成されている。
本実施形態では、図2(a)に示す状態からまず反射防止膜106と酸化シリコン膜105をプラズマエッチングして、図2(b)に示す状態とし、次にカーボン膜104をプラズマエッチングして図2(c)に示す状態とする。
この後、酸化シリコン膜103をプラズマエッチングして図2(d)に示す状態とする。次に、酸化シリコン膜103の上に残留したカーボン膜104をアッシングにより除去して図2(e)に示す状態とし、最後に窒化シリコン膜102をエッチングして図2(f)に示す状態とする。この状態では、厚さが約500nmの酸化シリコン膜103と厚さが20nmの窒化シリコン膜102を貫通するホール状のパターンが所定間隔で複数形成されることになる。
本実施形態では、上記した酸化シリコン膜103をプラズマエッチングする場合について説明する。この時、処理ガスとしては、例えば、フッ素化合物ガスと、Oガスと、Arガスの混合ガス等を使用する。フッ素化合物ガスとしては、例えばCガスを好適に使用することができる。そして、本実施形態では、エッチングを進行させるCガスの流量を、第1流量とした第1工程と、第1流量とは異なる第2流量とした第2工程とからなる1サイクルの工程を、プラズマを途中で消すことなく連続的に少なくとも3回以上繰り返して行う。
この際、第1工程を実施する第1の時間と、第2工程を実施する第2の時間は、1秒以上15秒以下とし、さらに好ましくは2.5秒以上10秒以下とする。この理由については、後述する。
また、第1工程における処理ガスの総流量と、第2工程における処理ガスの総流量は、略等しくすることが好ましく、異なる場合その総流量の差が、多い方の総流量の10%以下の範囲とすることが好ましい。すなわち、例えば、第1工程における処理ガスの総流量が、第2工程における処理ガスの総流量より多く、1000sccmの場合、第2工程における処理ガスの総流量は、900sccm以上1000sccm未満の範囲とする。これによって、第1工程における処理チャンバー内の圧力と、第2工程における処理チャンバー内の圧力とを略同一とし、処理チャンバーに設けられたAPC(自動圧力制御装置)のコントロール弁が作動しない程度の圧力変動範囲として、プラズマエッチング処理の状態が大きく変動することを抑制することができる。
さらに、第1工程と第2工程のいずれにおいても被エッチング膜(本実施形態の場合酸化シリコン膜)のエッチングを進行させるガスを処理ガス中に含むようにする。したがって、本実施形態では、第1工程と第2工程のいずれにおいても処理ガス中にフッ素化合物ガスが含まれるようにする。これによって、エッチングレートが低下することを抑制することができる。
実施例1として、図1に示したプラズマエッチング装置を使用し、以下の条件で酸化シリコン膜103のプラズマエッチングを実施した。
圧力:4.7Pa(35mTorr)
高周波電力(H/L):2000/4000W
直流電圧:150V
処理ガス(第1工程):C/O/Ar=60/65/900sccm
処理ガス(第2工程):C/O/Ar=80/65/900sccm
処理時間:(第1工程10秒+第2工程10秒)×4 (トータル80秒)オーバーエッチ41%
温度(上部/側壁/載置台):150/150/60℃
ウエハ裏面側ヘリウム圧力(中央部/周辺部):2000/5300Pa(15/40Torr)
上記の実施例1では、所望形状のホールのパターン(ホール径45nm)を形成することができ、下地層の窒化シリコン膜102との選択比を約40とすることができた。この時のパターンの電子顕微鏡写真を図3(a)に示す。
比較例1として、上記のようにCのガス流量を周期的に変更することなく、60sccmで一定、処理時間90秒(オーバーエッチ50%)とし、他の条件は実施例1と同一として同様なプラズマエッチングを行った。また、比較例2として、上記のようにCのガス流量を周期的に変更することなく、80sccmで一定、処理時間90秒(オーバーエッチ62%)とし、他の条件は実施例1と同一として同様なプラズマエッチングを行った。この比較例1のパターンの電子顕微鏡写真を図3(b)に、比較例2のパターンの電子顕微鏡写真を図3(c)に示す。比較例1では、パターン形状は良好であったが、下地層の窒化シリコン膜102との選択比が19.1と低かった。また、比較例2では、下地層の窒化シリコン膜102との選択比は34.9と高かったが、抜け性が悪く、エッチストップしているパターンが多く存在した。
上記のように、実施例1では、Cのガス流量を一定としてプラズマエッチングを行った場合に比べて高選択比で、良好な形状のパターンを形成することができた。
次に、ブランケットウエハに形成した熱酸化膜のプラズマエッチングを、上記実施例1と同一条件(但し、処理時間80秒)で行った場合(実施例1−2)、比較例1と同一条件(但し、処理時間80秒)で行った場合(比較例1−2)、比較例2と同一条件(但し、処理時間80秒)で行った場合(比較例2−2)について、エッチングレートの面内均一性を調査した。さらに、Cのガス流量を周期的に変更することなく、70sccmで一定(処理時間80秒)とした場合(比較例3−2)についても調査を行った。
これらの結果を、縦軸をエッチングレート、横軸をウエハ面内における位置とした図4〜7のグラフに示す。なお、これらのグラフにおいて、黒色の円はX方向に沿って測定した値、白抜きの円はX方向と直交するY方向に沿って測定した値を示している。図4に示すように、実施例1−2では、平均のエッチングレートが430.1nm/min、ユニフォーミティが8.1%となった。
これに対して、図5に示す比較例1−2では、平均のエッチングレートが413.5nm/min、ユニフォーミティが17.5%となり、ウエハの中央部におけるエッチングレートが低下し、周辺部で高くなる傾向が顕著となった。また、図6に示す比較例2−2では、平均のエッチングレートが141.nm/min程度となったが、ウエハの周辺部と中央部との間の中間部において、デポが多く膜厚測定が困難な部分(図中プロットの無い部分)があった。また、図7に示す比較例3−2では、平均のエッチングレートが463.3nm/minと高くなったが、ユニフォーミティが11.6%と低くなり、ウエハの中央部におけるエッチングレートが低下し、周辺部で高くなる傾向が顕著となった。
以上のように、Cのガス流量を周期的に変更した実施例1−2では、Cのガス流量を一定とした各比較例に比べてエッチングレートが高く、ユニフォーミティも良好であることを確認することができた。
上記の実施例1、実施例1−2では、第1工程及び第2工程の時間を10秒とし、4サイクルの周期的な変更を行っているが、この第1工程及び第2工程の時間は、1秒以上15秒以下とすることが好ましく、2.5秒以上10秒以下とすることがさらに好ましい。以下、その理由について説明する。
図8は、上記の実施例1−2において、第1工程及び第2工程の時間を40秒として、1サイクル行った場合、図9は第1工程及び第2工程の時間を20秒として、2サイクル行った場合、図10は第1工程及び第2工程の時間を5秒として、8サイクル行った場合、図11は第1工程及び第2工程の時間を2.5秒として、16サイクル行った場合、図12は第1工程及び第2工程の時間を1秒として、40サイクル行った場合、図13は第1工程及び第2工程の時間を0.5秒として、80サイクル行った場合のエッチングレートとその面内均一性を調べた結果を示している。
図13に示されるように、第1工程及び第2工程の時間を0.5秒とした場合、連続的にCをガス流量70sccmで一定に流した場合(比較例3−2(図7に示す。))と略同様な結果となり、ほとんど効果が表れない。なお、この場合の平均のエッチングレートは461.7nm/min、ユニフォーミティは10.6%である。
また図12に示されるように、第1工程及び第2工程の時間を1秒とした場合、連続的にCをガス流量70sccmで一定に流した場合(比較例3−2(図7に示す。))に比べてエッチングレートの均一化の効果が表れる。なお、この場合の平均のエッチングレートは454.5nm/min、ユニフォーミティは9.1%である。
そして、図11に示されるように、第1工程及び第2工程の時間を2.5秒とした場合(平均のエッチングレートは446.8nm/min、ユニフォーミティは8.6%)、図10に示されるように、第1工程及び第2工程の時間を5秒とした場合(平均のエッチングレートは447.3nm/min、ユニフォーミティは7.2%)、と次第にエッチングレートの均一化の効果が大きくなる。
しかしながら、第1工程及び第2工程の時間を10秒とした前述した実施例1−2よりさらに第1工程及び第2工程の時間を長くし、20秒とすると、図9に示されるように、連続的にCをガス流量70sccmで一定に流した場合(比較例3−2(図7に示す。))に比べてエッチングレートが低下し、ユニフォーミティも悪くなる。この場合の平均のエッチングレートは364.7nm/min、ユニフォーミティは27.2%程度である。なお、図9において、一部プロットの無い部位は、デポが多く膜厚測定が困難であった部分である。
そして、図8に示されるように第1工程及び第2工程の時間を40秒とした場合も、連続的にCをガス流量70sccmで一定に流した場合(比較例3−2(図7に示す。))、に比べてエッチングレートが低下し、ユニフォーミティも悪くなる。
上記の結果から、第1工程及び第2工程の時間は、1秒以上15秒以下とすることが好ましく、2.5秒以上10秒以下とすることがさらに好ましいことが分かる。第1工程及び第2工程の時間をこのように設定すると良好な結果が得られるのは、プラズマエッチング中にプラズマの状態が微妙に変化する過渡状態が発生するからと考えられる。
図14は、縦軸を発光強度、横軸を経過時間として、ガス流量を変化させた場合のプラズマの状態の時間的な変化の様子を調べた結果を示している。なお、この時のプラズマ発生条件は、
圧力:4.0Pa(30mTorr)
高周波電力(H/L):500/150W
処理ガス(第1工程):HBr/Cl/NF=160/20/20sccm
処理ガス(第2工程):HBr/Cl/NF=140/20/40sccm
である。
同図において各曲線は、上側から波長226nm:CO,SiCl、波長337nm:N,NH、波長440nm:SiF,Cl2+,SiNの発光強度を示している。同図に示されるように、処理チャンバーの外部にある弁を動作させて第1工程と第2工程とを切り替える(NFガス流量を増大しHBrを減少させる)と、同図(A)に示すように3秒程度後にプラズマの状態が変化を始め、10秒程度で安定した状態となる。つまり、この場合過渡状態が7秒程度生じる。なお、第2工程から第1工程に切り替えた(NFガス流量を減少しHBrを増大させた)場合には、同図(B)に示すように3秒程度後にプラズマの状態が変化を始め、7秒程度で安定した状態となる。つまり、同図(A)に示した場合より短時間で安定した状態となる。なお、処理チャンバーの容量は約68リットルである。
このように第1工程と第2工程とを切り替えた際に過渡状態が7秒程度生じる場合、第1工程及び第2工程の時間を5秒以下の短時間に設定すると、処理時間中のほとんどの時間が過渡状態となるが、プラズマの状態の変化が定常状態にまで到達しない可能性がある。ここで、仮に第1工程及び第2工程の時間を8秒程度に設定すれば、処理時間中のほとんどの時間が過渡状態となり、かつ、プラズマの状態を略定常状態となるまで変化させることができる。このような理由から、第1工程及び第2工程の時間を8秒を中心として、1秒〜15秒程度とすることによって、前述した効果が得られるものと考えられる。
図15は、同様にプラズマの発生条件を、
圧力:4.7Pa(35mTorr)
高周波電力(H/L):2000/4000W
処理ガス(第1工程(10秒)):C/O/Ar=60/65/200sccm
処理ガス(第2工程(10秒)):C/O/Ar=80/65/200sccm
とした場合のプラズマの発光強度を測定した結果を示している。なお、この場合、波長250−270:CFの発光強度を示している。また、図16は、上記と同様な条件で、第1工程及び第2工程の時間を5秒とした場合、さらに図17はArガスの流量を900sccmに増大させた場合、さらに図18は圧力を9.4Pa(70mTorr)に増大させた場合の発光強度を示している。なお、処理チャンバーの容量は約68リットルである。
これらの図15〜図18に示すように、Arガスの流量を増大させると発光強度の変動幅が減少し、圧力を増大させると発光強度の変動幅が増大するが、過渡状態の時間的な長さには大きな影響は与えない。
次に実施例2について説明する。実施例2では、厚さ約600nmのカーボン膜をプラズマエッチングしてラインアンドスペースのパターンを形成した。実施例2で使用した半導体ウエハでは、図19に示すように、厚さ約1ミクロンの熱酸化膜120の上に、厚さ約600nmのカーボン膜121が形成され、その上に厚さ約60nmの酸化シリコン膜(SiO膜)122、厚さ約30nmの反射防止膜123が形成されている。そして反射防止膜123の上に所定パターンにパターニングされた厚さ約100nmのフォトレジスト124が形成されている。実施例2では、このフォトレジスト124をマスクとして反射防止膜123及び酸化シリコン膜122をエッチングした後、この酸化シリコン膜122をマスクとして、カーボン膜121をプラズマエッチングした。
カーボン膜121のプラズマエッチングの条件は以下のとおりである。
圧力:0.67Pa(5mTorr)
高周波電力(H/L):500/500W
処理ガス(第1工程):HBr/O=40/40sccm
処理ガス(第2工程):HBr/O=0/80sccm
処理時間:(第1工程11秒+第2工程11秒)×4 (トータル88秒)
温度(上部/側壁/載置台):100/80/40℃
ウエハ裏面側ヘリウム圧力(中央部/周辺部):1330/1330Pa(10/10Torr)
実施例2では、マスク層である酸化シリコン膜122との選択比を稼ぎつつ、厚さ約600nmのカーボン膜121をプラズマエッチングしてラインアンドスペースの所定形状のパターンを形成することができた。この実施例2のパターンの電子顕微鏡写真を図20(a)に示す。
比較例4として、処理ガスを
処理ガス:HBr/O=40/40sccm
で一定とした以外は、実施例2と同一の条件で同一のサンプルのプラズマエッチングを行った。この結果、エッチング途中でエッチストップしてしまい、最後までエッチングすることができなかった。この比較例4のパターンの電子顕微鏡写真を図20(b)に示す。
比較例5として、処理ガスを
処理ガス:O=80sccm
で一定とした以外は、第2実施例と同一の条件で同一のサンプルのプラズマエッチングを行った。この結果、マスク層である酸化シリコン膜122との選択比が不十分でマスクの状態を維持することができず、また、カーボン膜121のCDが細くなってしまった。この比較例5のパターンの電子顕微鏡写真を図20(c)に示す。
次に実施例3について説明する。実施例3では、厚さ約300nmのカーボン膜の下側に位置する厚さ約400nmのアモルファスシリコン膜に、ホールのパターンを形成した。実施例3で使用した半導体ウエハでは、図21に示すように、厚さ約400nmのアモルファスシリコン膜131の上に厚さ約300nmのカーボン膜132が形成されており、その上に厚さ約60nmの酸化シリコン(SiO)膜133、反射防止膜134が形成されている。そして反射防止膜134の上に所定パターンにパターニングされた厚さ約100nmのフォトレジスト135が形成されている。実施例3では、フォトレジスト135をマスクとして反射防止膜134及び酸化シリコン膜133をエッチングした後、この酸化シリコン膜133をマスクとして、カーボン膜132をエッチングし、さらに、アモルファスシリコン膜131をプラズマエッチングした。
アモルファスシリコン膜131のプラズマエッチングの条件は以下のとおりである。
圧力:16.0Pa(120mTorr)
高周波電力(H/L):2500/1300W
処理ガス(第1工程):NF/HBr/O=0/300/20sccm
処理ガス(第2工程):NF/HBr/O=5/300/20sccm
処理時間:(第1工程10秒+第2工程10秒)×3(トータル60秒)
温度(上部/側壁/載置台):100/80/80℃
ウエハ裏面側ヘリウム圧力(中央部/周辺部):1330/1330Pa(10/10Torr)
実施例3では、厚さ約400nmのアモルファスシリコン膜131をプラズマエッチングして所定形状のホールのパターンを形成することができた。この実施例3のパターンの電子顕微鏡写真を図22に示す。
以上、本発明を実施形態及び実施例について説明したが、本発明は係る実施形態及び実施例に限定されるものではなく、各種の変形が可能であることは勿論である。
1……処理チャンバー、2……載置台、15……処理ガス供給源、16……シャワーヘッド、10a……第1の高周波電源、10b……第2の高周波電源、60……制御部、200……プラズマエッチング装置、W……半導体ウエハ。

Claims (9)

  1. 基板を処理チャンバー内に収容して前記基板に形成された被エッチング膜をエッチングするプラズマエッチング工程を有する半導体装置の製造方法であって、
    前記プラズマエッチング工程では、
    前記処理チャンバー内に所定の複数種のガスの混合ガスからなる処理ガスを供給し、
    かつ、前記複数種のガスのうち少なくとも1種のガスの流量を第1の時間中第1の流量とする第1工程と、第2の時間中前記第1の流量とは異なる流量の第2流量とする第2工程とからなる1サイクルの工程を、プラズマを途中で消すことなく連続的に少なくとも3回以上繰り返して行い、
    前記第1の時間及び前記第2の時間は、1秒以上15秒以下、
    前記第1工程における前記処理ガスの総流量と、前記第2工程における前記処理ガスの総流量は、同一、若しくは異なる場合は、総流量の差が多い方の総流量の10%以下であり、
    前記第1工程と前記第2工程のいずれにおいても前記被エッチング膜のエッチングを進行させるガスを前記処理ガス中に含む
    ことを特徴とする半導体装置の製造方法。
  2. 請求項1記載の半導体装置の製造方法であって、
    前記第1の時間及び前記第2の時間は、2.5秒以上10秒以下であることを特徴とする半導体装置の製造方法。
  3. 請求項1又は2記載の半導体装置の製造方法であって、
    前記第1の時間と前記第2の時間とは同一であることを特徴とする半導体装置の製造方法。
  4. 請求項1〜3いずれか1項記載の半導体装置の製造方法であって、
    前記被エッチング膜は、酸化シリコン膜であり、
    前記処理ガスは少なくともフッ素化合物ガスを含み、前記第1工程と前記第2工程では、フッ素化合物ガスの流量を前記第1の流量と前記第2の流量とに変更することを特徴とする半導体装置の製造方法。
  5. 請求項4記載の半導体装置の製造方法であって、
    前記フッ素化合物ガスがCガスであることを特徴とする半導体装置の製造方法。
  6. 請求項4又は5記載の半導体装置の製造方法であって、
    前記処理ガスがOガスとArガスを含むことを特徴とする半導体装置の製造方法。
  7. 請求項1〜3いずれか1項記載の半導体装置の製造方法であって、
    前記被エッチング膜は、アモルファスシリコン膜であり、
    前記処理ガスは少なくともNFガスと、HBrガスと、Oガスとを含み、前記第1工程と前記第2工程では、NFガスの流量を前記第1の流量と前記第2の流量とに変更することを特徴とする半導体装置の製造方法。
  8. 請求項1〜3いずれか1項記載の半導体装置の製造方法であって、
    前記被エッチング膜は、カーボン膜であり、
    前記処理ガスは少なくともHBrガスと、Oガスとを含み、前記第1工程と前記第2工程では、HBrガスと、Oガスの流量を前記第1の流量と前記第2の流量とに変更することを特徴とする半導体装置の製造方法。
  9. 基板を内部に収容して前記基板に形成された被エッチング膜をエッチングする処理チャンバーと、
    前記処理チャンバー内に所定の複数種のガスの混合ガスからなる処理ガスを供給する処理ガス供給機構と、
    前記処理ガスをプラズマ化するプラズマ生成機構と
    を具備したプラズマエッチング装置であって、
    前記処理チャンバー内で、請求項1乃至請求項8いずれが1項記載の半導体装置の製造方法におけるプラズマエッチング工程が実行されるように制御を行う制御手段を備えたことを特徴とするプラズマエッチング装置。
JP2010024552A 2010-02-05 2010-02-05 半導体装置の製造方法及びプラズマエッチング装置 Active JP5608384B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2010024552A JP5608384B2 (ja) 2010-02-05 2010-02-05 半導体装置の製造方法及びプラズマエッチング装置
TW100103440A TWI508164B (zh) 2010-02-05 2011-01-28 Manufacturing method of semiconductor device
CN201110035366.9A CN102169823B (zh) 2010-02-05 2011-01-31 半导体装置的制造方法和等离子体蚀刻装置
KR1020110009869A KR101742324B1 (ko) 2010-02-05 2011-02-01 반도체 장치의 제조 방법 및 플라즈마 에칭 장치
US13/019,602 US8491805B2 (en) 2010-02-05 2011-02-02 Semiconductor device manufacturing method and plasma etching apparatus
US13/947,477 US8772172B2 (en) 2010-02-05 2013-07-22 Semiconductor device manufacturing method and plasma etching apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010024552A JP5608384B2 (ja) 2010-02-05 2010-02-05 半導体装置の製造方法及びプラズマエッチング装置

Publications (2)

Publication Number Publication Date
JP2011165769A true JP2011165769A (ja) 2011-08-25
JP5608384B2 JP5608384B2 (ja) 2014-10-15

Family

ID=44354057

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010024552A Active JP5608384B2 (ja) 2010-02-05 2010-02-05 半導体装置の製造方法及びプラズマエッチング装置

Country Status (5)

Country Link
US (2) US8491805B2 (ja)
JP (1) JP5608384B2 (ja)
KR (1) KR101742324B1 (ja)
CN (1) CN102169823B (ja)
TW (1) TWI508164B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015043386A (ja) * 2013-08-26 2015-03-05 東京エレクトロン株式会社 半導体装置の製造方法
KR20150095214A (ko) 2014-02-12 2015-08-20 도쿄엘렉트론가부시키가이샤 가스 공급 방법 및 반도체 제조 장치
WO2019118812A1 (en) * 2017-12-16 2019-06-20 Applied Materials, Inc. Geometrically selective deposition of dielectric films utilizing low frequency bias

Families Citing this family (176)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
US9406709B2 (en) 2010-06-22 2016-08-02 President And Fellows Of Harvard College Methods for fabricating and using nanowires
US8546742B2 (en) 2009-06-04 2013-10-01 Zena Technologies, Inc. Array of nanowires in a single cavity with anti-reflective coating on substrate
US8519379B2 (en) 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
US8229255B2 (en) 2008-09-04 2012-07-24 Zena Technologies, Inc. Optical waveguides in image sensors
US9515218B2 (en) 2008-09-04 2016-12-06 Zena Technologies, Inc. Vertical pillar structured photovoltaic devices with mirrors and optical claddings
US9000353B2 (en) 2010-06-22 2015-04-07 President And Fellows Of Harvard College Light absorption and filtering properties of vertically oriented semiconductor nano wires
US8890271B2 (en) * 2010-06-30 2014-11-18 Zena Technologies, Inc. Silicon nitride light pipes for image sensors
US8889455B2 (en) 2009-12-08 2014-11-18 Zena Technologies, Inc. Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor
US8866065B2 (en) 2010-12-13 2014-10-21 Zena Technologies, Inc. Nanowire arrays comprising fluorescent nanowires
US8735797B2 (en) 2009-12-08 2014-05-27 Zena Technologies, Inc. Nanowire photo-detector grown on a back-side illuminated image sensor
US9478685B2 (en) 2014-06-23 2016-10-25 Zena Technologies, Inc. Vertical pillar structured infrared detector and fabrication method for the same
US8507840B2 (en) 2010-12-21 2013-08-13 Zena Technologies, Inc. Vertically structured passive pixel arrays and methods for fabricating the same
US8269985B2 (en) 2009-05-26 2012-09-18 Zena Technologies, Inc. Determination of optimal diameters for nanowires
US8274039B2 (en) 2008-11-13 2012-09-25 Zena Technologies, Inc. Vertical waveguides with various functionality on integrated circuits
US9299866B2 (en) 2010-12-30 2016-03-29 Zena Technologies, Inc. Nanowire array based solar energy harvesting device
US9343490B2 (en) 2013-08-09 2016-05-17 Zena Technologies, Inc. Nanowire structured color filter arrays and fabrication method of the same
US8748799B2 (en) 2010-12-14 2014-06-10 Zena Technologies, Inc. Full color single pixel including doublet or quadruplet si nanowires for image sensors
US8791470B2 (en) 2009-10-05 2014-07-29 Zena Technologies, Inc. Nano structured LEDs
US9082673B2 (en) 2009-10-05 2015-07-14 Zena Technologies, Inc. Passivated upstanding nanostructures and methods of making the same
US8299472B2 (en) 2009-12-08 2012-10-30 Young-June Yu Active pixel sensor with nanowire structured photodetectors
US8835831B2 (en) 2010-06-22 2014-09-16 Zena Technologies, Inc. Polarized light detecting device and fabrication methods of the same
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR20130011569A (ko) * 2011-07-22 2013-01-30 삼성전자주식회사 콘택홀 형성 방법 및 이를 형성하기에 적합한 식각 장치
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014082228A (ja) * 2012-10-12 2014-05-08 Tokyo Electron Ltd プラズマエッチング方法
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
KR20160012302A (ko) 2014-07-23 2016-02-03 삼성전자주식회사 기판 제조 방법 및 그에 사용되는 기판 제조 장치
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9865471B2 (en) * 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
KR20170002764A (ko) 2015-06-29 2017-01-09 삼성전자주식회사 반도체 소자의 제조 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6586328B2 (ja) * 2015-09-04 2019-10-02 東京エレクトロン株式会社 被処理体を処理する方法
JP6498152B2 (ja) * 2015-12-18 2019-04-10 東京エレクトロン株式会社 エッチング方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11037798B2 (en) * 2016-11-09 2021-06-15 Tokyo Electron Limited Self-limiting cyclic etch method for carbon-based films
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN108346572B (zh) * 2018-02-09 2020-06-30 信利(惠州)智能显示有限公司 氧化硅膜和氮化硅膜的表面处理方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0883694A (ja) * 1994-09-09 1996-03-26 Oki Electric Ind Co Ltd プラズマ制御方法およびプラズマ発生装置
JPH11195641A (ja) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
JP2005317963A (ja) * 2004-04-29 2005-11-10 Air Products & Chemicals Inc 電子付着を用いて基材から物質を除去する方法
JP2008166844A (ja) * 2008-03-17 2008-07-17 Hitachi High-Technologies Corp プラズマ処理装置
JP2008218999A (ja) * 2007-02-08 2008-09-18 Nec Electronics Corp 半導体装置の製造方法
JP2009152438A (ja) * 2007-12-21 2009-07-09 Fujitsu Microelectronics Ltd 半導体装置の製造方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0473287A (ja) 1990-07-11 1992-03-09 Mitsubishi Electric Corp 抄紙機の制御装置
JPH04125924A (ja) 1990-09-17 1992-04-27 Mitsubishi Electric Corp プラズマエッチング方法
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP4073287B2 (ja) 2002-10-22 2008-04-09 株式会社ケンウッド 可倒式操作パネルの装着構造
US7416676B2 (en) * 2005-02-16 2008-08-26 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0883694A (ja) * 1994-09-09 1996-03-26 Oki Electric Ind Co Ltd プラズマ制御方法およびプラズマ発生装置
JPH11195641A (ja) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
JP2005317963A (ja) * 2004-04-29 2005-11-10 Air Products & Chemicals Inc 電子付着を用いて基材から物質を除去する方法
JP2008218999A (ja) * 2007-02-08 2008-09-18 Nec Electronics Corp 半導体装置の製造方法
JP2009152438A (ja) * 2007-12-21 2009-07-09 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP2008166844A (ja) * 2008-03-17 2008-07-17 Hitachi High-Technologies Corp プラズマ処理装置

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015043386A (ja) * 2013-08-26 2015-03-05 東京エレクトロン株式会社 半導体装置の製造方法
KR20150024277A (ko) 2013-08-26 2015-03-06 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
US9082720B2 (en) 2013-08-26 2015-07-14 Tokyo Electron Limited Semiconductor device manufacturing method
KR20150095214A (ko) 2014-02-12 2015-08-20 도쿄엘렉트론가부시키가이샤 가스 공급 방법 및 반도체 제조 장치
JP2015153832A (ja) * 2014-02-12 2015-08-24 東京エレクトロン株式会社 ガス供給方法及び半導体製造装置
US10410840B2 (en) 2014-02-12 2019-09-10 Tokyo Electron Limited Gas supplying method and semiconductor manufacturing apparatus
WO2019118812A1 (en) * 2017-12-16 2019-06-20 Applied Materials, Inc. Geometrically selective deposition of dielectric films utilizing low frequency bias
US11081318B2 (en) 2017-12-16 2021-08-03 Applied Materials, Inc. Geometrically selective deposition of dielectric films utilizing low frequency bias

Also Published As

Publication number Publication date
CN102169823A (zh) 2011-08-31
US20130302993A1 (en) 2013-11-14
US20110195577A1 (en) 2011-08-11
CN102169823B (zh) 2015-11-25
TW201145384A (en) 2011-12-16
KR101742324B1 (ko) 2017-05-31
US8772172B2 (en) 2014-07-08
JP5608384B2 (ja) 2014-10-15
US8491805B2 (en) 2013-07-23
TWI508164B (zh) 2015-11-11
KR20110091462A (ko) 2011-08-11

Similar Documents

Publication Publication Date Title
JP5608384B2 (ja) 半導体装置の製造方法及びプラズマエッチング装置
JP5839689B2 (ja) プラズマエッチング方法及び半導体装置の製造方法並びにコンピュータ記憶媒体
JP5102653B2 (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US9177823B2 (en) Plasma etching method and plasma etching apparatus
JP4912907B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP2010205967A (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP5373669B2 (ja) 半導体装置の製造方法
US20090203218A1 (en) Plasma etching method and computer-readable storage medium
JP6723659B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP6141855B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP5568340B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP6017928B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP2009158740A (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US20090203219A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
US20140227876A1 (en) Semiconductor device manufacturing method
JP5804978B2 (ja) プラズマエッチング方法及びコンピュータ記録媒体
JP6226668B2 (ja) プラズマ処理方法
JP5047644B2 (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP2008181996A (ja) 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
JP2006278517A (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130128

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130827

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131025

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140311

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140428

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140826

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140901

R150 Certificate of patent or registration of utility model

Ref document number: 5608384

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250