CN102169823B - 半导体装置的制造方法和等离子体蚀刻装置 - Google Patents

半导体装置的制造方法和等离子体蚀刻装置 Download PDF

Info

Publication number
CN102169823B
CN102169823B CN201110035366.9A CN201110035366A CN102169823B CN 102169823 B CN102169823 B CN 102169823B CN 201110035366 A CN201110035366 A CN 201110035366A CN 102169823 B CN102169823 B CN 102169823B
Authority
CN
China
Prior art keywords
plasma
etching
flow
gas
time
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201110035366.9A
Other languages
English (en)
Other versions
CN102169823A (zh
Inventor
栉引理人
西村荣一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102169823A publication Critical patent/CN102169823A/zh
Application granted granted Critical
Publication of CN102169823B publication Critical patent/CN102169823B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

本发明提供半导体装置的制造方法和等离子体蚀刻装置。该半导体装置的制造方法中,对处理腔室内供给由混合气体构成的处理气体,并且,将一个循环的工序以等离子体中途不消失的方式连续地至少重复进行三次以上,该一个循环的工序包括:使多种气体中的至少一种气体的流量,在第一时间中为第一流量的第一工序和在第二时间中为流量与上述第一流量不同的第二流量的第二工序,第一时间和第二时间为1秒以上15秒以下,第一工序中的处理气体的总流量与第二工序中的处理气体的总流量相同,或者在双方不同的情况下,总流量之差为多的一方的总流量的10%以下,在第一工序和第二工序中的任一工序中,用于对被蚀刻膜进行蚀刻的气体包含在处理气体中。

Description

半导体装置的制造方法和等离子体蚀刻装置
技术领域
本发明涉及半导体装置的制造方法和等离子体蚀刻装置。
背景技术
在半导体装置的制造工序中,将半导体晶片等的基板配置在等离子体蚀刻装置的处理腔室内,对形成在基板的各种膜进行等离子体蚀刻。
在等离子体蚀刻装置中,使收容有半导体晶片等的基板的处理腔室内成为规定压力的减压气氛,并且将规定的处理气体供给到处理腔室内,利用高频电场等对该处理气体进行等离子体化。而且,通过使该处理气体的等离子体作用于基板,对形成在基板的各种膜进行等离子体蚀刻。
另外,已知有如下方法:在用这种等离子体蚀刻装置等进行的等离子体处理中,在对处理腔室内供给的混合气体中,暂时将使蚀刻得以进行的SF6的供给短时间断续(间歇)地停止,其间在停止进行蚀刻的状态下,在表面形成氮化膜,由此不会发生下切(undercut)地对硅进行蚀刻的方法(例如,参照专利文献1)。
[专利文献]
专利文献1:日本特公平4-73287号公报
发明内容
在半导体装置中,随着从56nm到43nm乃至32nm等,其电路图案的微细化正在发展。因此,通过等离子体蚀刻形成的图案中,微细且高度较高或深度较深的图案增加,能够精度良好且均匀地并且以高选择比形成这种图案的技术正在被开发。然而,存在例如选择比和图案形状为权衡(tradeoff)关系等的问题,以高选择比形成细且深的孔或细且高的线和空间(lineandspace)等的图案是困难的。
本发明是针对上述现有的情况而完成的,提供能够精度良好且均匀地并且以高选择比形成微细的图案的半导体装置的制造方法和等离子体蚀刻装置。
解决课题的方法
本发明的半导体装置的制造方法,其包括将基板收容在处理腔室内并对形成在上述基板的被蚀刻膜进行蚀刻的等离子体蚀刻工序,上述半导体装置的制造方法的特征在于:在上述等离子体蚀刻工序中,对上述处理腔室内供给处理气体,该处理气体包括规定的多种气体的混合气体,并且,将一个循环的工序以等离子体中途不消失的方式(以中途不熄灭等离子体的方式)连续地至少重复进行三次以上,该一个循环的工序包括:使多种气体中的至少一种气体的流量,在第一时间中为第一流量的第一工序和在第二时间中为流量与上述第一流量不同的第二流量的第二工序,上述第一时间和上述第二时间为1秒以上15秒以下,上述第一工序中的上述处理气体的总流量与上述第二工序中的上述处理气体的总流量相同,或者在双方不同的情况下,总流量之差为多的一方的总流量的10%以下,在上述第一工序和上述第二工序中的任一工序中,用于对上述被蚀刻膜进行蚀刻的气体包含在上述处理气体中。
发明效果
根据本发明,能够提供精度良好且均匀地并且以高选择比形成微细的图案的半导体装置的制造方法和等离子体蚀刻装置。
附图说明
图1是示意地表示本发明的一个实施方式的等离子体蚀刻装置的结构的图。
图2是用于说明本发明的一个实施方式的半导体装置的制造方法的图。
图3是实施例1、比较例1、比较例2的图案的电子显微镜照片。
图4是表示对蚀刻速率的面内均匀性进行调查而得的结果的图表。
图5是表示对蚀刻速率的面内均匀性进行调查而得的结果的图表。
图6是表示对蚀刻速率的面内均匀性进行调查而得的结果的图表。
图7是表示对蚀刻速率的面内均匀性进行调查而得的结果的图表。
图8是表示对蚀刻速率的面内均匀性进行调查而得的结果的图表。
图9是表示对蚀刻速率的面内均匀性进行调查而得的结果的图表。
图10是表示对蚀刻速率的面内均匀性进行调查而得的结果的图表。
图11是表示对蚀刻速率的面内均匀性进行调查而得的结果的图表。
图12是表示对蚀刻速率的面内均匀性进行调查而得的结果的图表。
图13是表示对蚀刻速率的面内均匀性进行调查而得的结果的图表。
图14是表示切换工序时等离子体的发光强度随时间变化的图表。
图15是表示切换工序时等离子体的发光强度随时间变化的图表。
图16是表示对蚀刻速率的面内均匀性进行调查而得的结果的图表。
图17是表示切换工序时等离子体的发光强度随时间变化的图表。
图18是表示切换工序时等离子体的发光强度随时间变化的图表。
图19是表示实施例2的半导体晶片的结构的图。
图20是表示实施例2、比较例3、比较例4的图案的电子显微镜照片。
图21是表示实施例3的半导体晶片的结构的图。
图22是实施例3的图案的电子显微镜照片。
附图标记说明
1处理腔室
2载置台
15处理气体供给源
16喷淋头
10a第一高频电源
10b第二高频电源
60控制部
200等离子体蚀刻装置
W半导体晶片
具体实施方式
以下,参照附图以实施方式对本发明的详细情况进行说明。
图1是示意地表示本发明的一个实施方式的等离子体蚀刻装置200的结构的图。等离子体蚀刻装置200具有气密地构成、与接地电位电连接的处理腔室1。该处理腔室1呈圆筒状,由例如表面被阳极氧化处理过的铝等构成。
在处理腔室1内设置有对作为被处理基板的半导体晶片W水平地支承的载置台2。载置台2例如由表面被阳极氧化处理过的铝等构成,具有作为下部电极的功能。该载置台2通过绝缘板3被支承在导体的支承台4上。另外,在载置台2的上方的外周,设置有例如由单晶硅形成的聚焦环5。进而,按照包围载置台2和支承台4的周围的方式,设置有例如由石英等构成的圆筒状的内壁材料3a。
载置台2经由第一匹配器11a与第一高频电源10a连接,经由第二匹配器11b与第二高频电源10b连接。第一高频电源10a是等离子体发生用电源,从该第一高频电源10a向载置台2供给规定频率(27MHz以上,例如40MHz)的高频电力。另外,第二高频电源10b是离子引入用(偏压(偏置)用)电源,从该第二高频电源10b向载置台2供给规定频率(13.56MHz以下,例如2MHz)低于第一高频电源10a的高频电力。另一方面,在载置台2的上方以与载置台2平行地相对的方式设置有喷淋头16,该喷淋头16具有作为上部电极的功能,喷淋头16和载置台2作为一对电极(上部电极和下部电极)发挥功能。
在载置台2的上表面设置有用于静电吸附半导体晶片W的静电卡盘6。该静电卡盘6构成为在绝缘体6b之间设置有电极6a,电极6a与直流电源12连接。而且,通过从直流电源12对电极6a施加直流电压,通过库仑力等对半导体晶片W进行吸附。
在支承台4的内部形成有制冷剂流路4a,制冷剂流路4a与制冷剂入口配管4b、制冷剂出口配管4c连接。而且,通过使适当的制冷剂例如冷却水等在制冷剂流路4a中循环,能够将支承台4和载置台2控制为规定的温度。另外,以贯通载置台2等的方式设置有用于向半导体晶片W的背面侧供给氦气等冷热传递用气体(背面(backside)气体)的背面气体供给配管30,该背面气体供给配管30与未图示的背面气体供给源连接。通过这种结构,能够将由静电卡盘吸附并保持在载置台2的上表面的半导体晶片W控制为规定的温度。
上述的喷淋头16设置在处理腔室1的顶部。喷淋头16具有主体部16a和构成电极板的上部顶板16b,隔着绝缘性部件45被支承在处理腔室1的上部。主体部16a由导电性材料例如表面被阳极氧化处理过的铝构成,在其下部能够装卸自由地支承上部顶板16b。
在主体部16a的内部设置有气体扩散室16c,以位于该气体扩散室16c的下部的方式,在主体部16a的底部形成有多个气体流通孔16d。另外,在上部顶板16d,气体导入孔16e被设置成,在厚度方向上贯通该上部顶板16b,并且与上述气体流通孔16d重合。通过这种结构,被供给到气体扩散室16c的处理气体,经由气体流通孔16d和气体导入孔16e,呈喷淋状地被分散供给到处理腔室1内。另外,在主体部16a等设置有未图示的用于使制冷剂循环的配管,在等离子体蚀刻处理中能够将喷淋头16冷却到所要求的温度。
在上述主体部16a中形成有用于向气体扩散室16c导入处理气体的气体导入口16g。该气体导入口16g与气体供给配管15a连接,该气体供给配管15a的另一端与供给等离子体蚀刻用的处理气体的处理气体供给源15连接。
在气体供给配管15a,从上游一侧依次设置有质量流量控制器(MFC)15b和开关阀V1。而且,作为用于等离子体蚀刻的处理气体,从处理气体供给源15将例如Ar、O2、C4F8、HBr、NF3、C4F6、CF4等气体,经由气体供给配管15a被供给到气体扩散室16c,从该气体扩散室16c经由气体流通孔16d和气体导入孔16e呈喷淋状地被分散供给到处理腔室1内。
作为上述上部电极的喷淋头16隔着低通滤波器(LPF)51与可变直流电源52电连接。该可变直流电源52能够利用通/断开关53来实现供电的有无。可变直流电源52的电流、电压以及通/断开关53的闭合、断开,由后述的控制部60控制。另外,如后文所述,从第一高频电源10a、第二高频电源10b将高频施加于载置台2以在处理空间发生等离子体时,根据需要通过控制部60使通/断开关53闭合、断开,对作为上部电极的喷淋头16施加规定的直流电压。
按照从处理腔室1的侧壁向喷淋头16的高度位置的上方延伸的方式,设置有圆筒状的接地导体1a。该圆筒状的接地导体1a在其上部具有顶板。
在处理腔室1的底部形成有排气口71,该排气口71经由排气管72与排气装置73连接。排气装置73具有真空泵,通过使该真空泵工作,能够将处理腔室1内减压至规定的真空度。另一方面,在处理腔室1的侧壁设置有晶片W的搬入出口74,在该搬入出口74设置有对该搬入出口74进行开闭的闸阀75。
图中76、77是可自由装卸的沉积防护罩。沉积防护罩76沿着处理腔室1的内壁面设置,沉积防护罩77被设置成包围支承台4和载置台2的周围。这些沉积防护罩76、77具有防止蚀刻副产物(沉积)附着在处理腔室1内壁等的作用。
上述结构的等离子体蚀刻装置,通过控制部60对其动作统一地进行控制。在该控制部60中设置有具备CPU且对等离子体蚀刻装置的各部分进行控制的处理控制器61、用户界面62和存储部63。
用户界面62包括:为了供工序管理者管理等离子体蚀刻装置而进行指令的输入操作的键盘;和使等离子体蚀刻装置的运转状况可视化来进行显示的显示器等。
在存储部63中存储有:包括将用于通过处理控制器61的控制来实现由等离子体蚀刻装置执行的各种处理的控制程序(软件)和处理条件数据等的处理方案。而且,根据需要,按照来自用户界面62的指示等从存储部63调出任意的处理方案并使处理控制器61执行,由此在处理控制器61的控制下,由等离子体蚀刻装置进行所要求的处理。另外,控制程序和处理条件数据等的处理方案,能够利用被存储在计算机能够读取的计算机存储介质(例如、硬盘、CD、软盘、半导体存储器等)等中的状态下的处理方案,或者也能够利用从其他装置通过例如专用线路随时传送以在线利用的处理方案。
在这种结构的等离子体蚀刻装置中,对形成在半导体晶片W的绝缘膜等进行等离子体蚀刻的次序进行说明。首先,将闸阀75打开,通过未图示的输送自动装置(robot)等,经由未图示的装载锁定室(loadlockchamber),将半导体晶片W从搬入出口74搬入至处理腔室1内,载置到载置台2上。然后,使输送自动装置退避到处理腔室1外,关闭闸阀75。而且,利用排气装置73的真空泵经由排气口71对处理腔室1内进行排气。
处理腔室1内成为规定的真空度之后,将规定的处理气体(蚀刻气体)从处理气体供给源15向处理腔室1内导入,处理腔室1内被保持为规定的压力例如4.7Pa(35mTorr),在该状态下,从第一高频电源10a向载置台2供给频率例如为40MHz的高频电力。另外,从第二高频电源10b向载置台2供给离子引入用的频率例如为2.0MHz的高频电力(偏压用)。此时,从直流电源12向静电卡盘6的电极6a施加规定的直流电压,通过库仑力将半导体晶片W吸附。
这种情况下,通过如上述那样对作为下部电极的载置台2施加高频电力,在作为上部电极的喷淋头16和作为下部电极的载置台2之间形成电场。利用在半导体晶片W所存在的处理空间内产生放电而形成的处理气体的等离子体,对形成在半导体晶片W上的绝缘膜等进行蚀刻处理。此时,根据需要,使通/断开关53接通,从可变直流电源52对作为上部电极的喷淋头16施加规定的直流电压。另外,在该蚀刻处理时,以中途等离子体不会消失的方式将一个循环的工序连续地至少重复进行三次以上,该一个循环的工序包括:使处理气体中的至少一种气体的流量为第一流量的工序;和使其为与第一流量不同的第二流量的第二工序。该第一工序和第二工序的详细情况在后文中阐述。
而且,在上述的蚀刻处理结束之后,将高频电力的供给、直流电压的供给和处理气体的供给停止,以与上述次序相反的次序,将半导体晶片W从处理腔室1内搬出。
其次,对使用上述结构的等离子体蚀刻装置200来进行的半导体装置的制造方法的实施方式进行说明。图2是示意地表示本实施方式中通过等离子体蚀刻进行图案形成的半导体晶片W的结构的例子的图。
如图2(a)所示,在硅基板101的表面从下侧起依次形成有氮化硅膜102(厚度例如20nm)、氧化硅膜103(厚度例如500nm)、碳膜104(厚度例如670nm)、氧化硅膜105(厚度例如40nm)、反射防止膜106。而且,在反射防止膜106上形成有被图案化为规定形状(在本实施方式中,以规定间隔形成有具有规定的内径的孔的形状)的两层光致抗蚀剂107、光致抗蚀剂108。
在本实施方式中,从图2(a)所示的状态起,首先,对反射防止膜106和氧化硅膜105进行等离子体蚀刻,成为图2(b)所示的状态,其次,对碳膜104进行等离子体蚀刻,成为图2(c)所示的状态。
然后,对氧化硅膜103进行等离子体蚀刻,成为图2(d)所示的状态。其次,通过灰化(ashing)将残留在氧化硅膜103上的碳膜104除去,成为图2(e)所示的状态,最后对氮化硅膜102进行蚀刻,成为图2(f)所示的状态。在该状态下,以规定间隔形成多个将厚度约500nm的氧化硅膜103和厚度20nm的氮化硅膜102贯通的孔状的图案。
在本实施方式中,说明对上述氧化硅膜103进行等离子体蚀刻的情况。此时,作为处理气体,例如使用氟化物气体、O2气体和Ar气体的混合气体等。作为氟化物气体,例如能够优选使用C4F6。而且,在本实施方式中,以中途等离子体不会消失的方式将一个循环的工序连续地至少重复进行三次以上,该一个循环的工序包括:使用于进行蚀刻的C4F6的流量,成为第一流量的第一工序,和成为与第一流量不同的第二流量的第二工序。
此时,实施第一工序的第一时间和实施第二工序的第二时间,为1秒以上15秒以下,更优选为2.5秒以上10秒以下。对其理由在后文中阐述。
另外,优选使第一工序中的处理气体的总流量和第二工序中的处理气体的总流量大致相等,优选在不相同的情况下其总流量的差为较多一方的总流量的10%以下的范围。即,例如,第一工序中的处理气体的总流量,比第二工序中的处理气体的总流量多,当为1000sccm时,第二工序中的处理气体的总流量为900sccm以上且不到1000sccm的范围。由此,使第一工序中的处理腔室内的压力与第二工序中的处理腔室内的压力大致相同,使其为设置在处理腔室的APC(自动压力控制装置)的控制阀不工作的程度的压力变动范围,能够抑制等离子体蚀刻处理的状态发生较大的变动。
进而,在第一工序和第二工序中的任一工序中,用于对被蚀刻膜(本实施方式的情况下是氧化硅膜)进行蚀刻的气体包含在处理气体中。因此,本实施方式中,在第一工序和第二工序中的任一工序中,处理气体中包含氟化物气体。由此,能够抑制蚀刻速率降低。
作为实施例1,使用图1所示的等离子体蚀刻装置,以下面的条件实施氧化硅膜103的等离子体蚀刻。
压力:4.7Pa(35mToor)
高频电力(H/L):2000/4000W
直流电压:150V
处理气体(第一工序):C4F6/O2/Ar=60/65/900sccm
处理气体(第二工序):C4F6/O2/Ar=80/65/900sccm
处理时间:(第一工序10秒+第二工序10秒)×4(合计80秒)过度蚀刻(overetch)41%
温度(上部/侧部/载置台):150/150/60℃
晶片背面侧氦气压力(中央部分/周边部分):2000/5300Pa(15/40Torr)
在上述的实施例1中,能够形成所要求的形状的孔的图案(孔径45nm),能够使基底层与氮化硅膜102的选择比约为40。图3(a)表示此时的图案的电子显微镜照片。
作为比较例1,如上所述不对C4F6的气体流量周期地进行变更,而是固定为60sccm,处理时间为90秒(过度蚀刻50%),其他的条件与实施例1相同,进行同样的等离子体蚀刻。另外,作为比较例2,如上所述不对C4F6的气体流量周期地进行变更,而是固定为80sccm,处理时间为90秒(过度蚀刻62%),其他的条件与实施例1相同,进行同样的等离子体蚀刻。图3(b)表示该比较例1的图案的电子显微镜照片,图3(c)表示比较例2的图案的电子显微镜照片。在比较例1中,图案形状良好,但是基底层与氮化硅膜102的选择比为19.1,较低。另外,在比较例2中,基底层与氮化硅膜102的选择比为34.9,较高,但是穿透性(抜け性)较差,存在较多蚀刻终止(etchstop)的图案。
如上所述,在实施例1中,与使C4F6的气体流量固定地进行等离子体蚀刻的情况相比,能够以高选择比形成良好形状的图案。
其次,对以下情况下的蚀刻速率的面内均匀性进行了调查:对于形成在无图案晶片(ブランケツトウエハ)的热氧化膜的等离子体蚀刻,在与上述实施例1相同的条件下(但是处理时间为80秒)进行的情况(实施例1-2),在与比较例1相同的条件下(但是处理时间为80秒)进行的情况(实施例1-2),在与比较例2相同的条件下(但是处理时间为80秒)进行的情况(实施例2-2)。进而还调查不对C4F6的气体流量周期地进行变更,而是固定为70sccm(处理时间80秒)的情况(比较例3-2)。
这些结果在图4~7中表示,其中,纵轴是蚀刻速率,横轴是晶片面内的位置。另外,在这些图表中,黑色的圆圈表示沿X方向进行测定所得的值,白色的圆圈表示沿与X方向正交的Y方向进行测定所得的值。如图4所示,在实施例1-2中,平均蚀刻速率是430.1nm/min,均匀性(uniformity)是8.1%。
与此相对,在图5所示的比较例1-2中,平均蚀刻速率是413.5nm/min,均匀性是17.5%,晶片的中央部分的蚀刻速率降低,周边部分提高的倾向变得显著。另外,在图6所示的比较例2-2中,平均蚀刻速率是141.nm/min左右,但是在晶片的周边部分和中央部分之间的中间部分,是沉积多、膜厚测定困难的部分(图中没有曲线(plot)的部分)。另外,图7所示的比较例3-2中,平均蚀刻速率是463.3nm/min,其变高,但是均匀性是11.6%,其变低,晶片的中央部分的蚀刻速率降低、在周边部分变高的倾向变得显著。
如上所述,在对C4F6的气体流量周期地进行变更的实施例1-2中,与使C4F6的气体流量为一定的各比较例相比,能够确认蚀刻速率高,且均匀性也良好。
上述的实施例1、实施例1-2中,使第一工序和第二工序的时间为10秒,进行四个循环的周期性的变更,该第一工序和第二工序的时间优选为1秒以上15秒以下,更优选为2.5秒以上10秒以下。以下,对于其理由进行说明。
以下各图表示对上述实施例1-2中各个情况下的蚀刻速率及其面内均匀性进行调查所得的结果:图8为第一工序和第二工序的时间为40秒、进行一个循环的情况,图9为第一工序和第二工序的时间为20秒、进行两个循环的情况,图10为第一工序和第二工序的时间为5秒、进行八个循环的情况,图11为第一工序和第二工序的时间为2.5秒、进行十六个循环的情况,图12为第一工序和第二工序的时间为1秒、进行四十个循环的情况,图13为第一工序和第二工序的时间为0.5秒、进行八十个循环的情况。
如图13所示,使第一工序和第二工序的时间为0.5秒的情况,与连续地使C4F6固定地以气体流量70sccm流动的情况(比较例3-2(图7所示))是大致相同的结果,几乎没有显示效果。另外,该情况的平均蚀刻速率是461.7nm/min,均匀性是10.6%。
另外,如图12所示,使第一工序和第二工序的时间为1秒的情况,与连续地使C4F6固定地以气体流量70sccm流动的情况(比较例3-2(图7所示))相比,显示出蚀刻速率均匀化的效果。另外,该情况下的平均蚀刻速率是454.5nm/min,均匀性是9.1%。
另外,如图11所示为使第一工序和第二工序的时间为2.5秒的情况(平均蚀刻速率是446.8nm/min,均匀性是8.6%),如图10所示为使第一工序和第二工序的时间为5秒的情况(平均蚀刻速率是447.3nm/min,均匀性是7.2%),蚀刻速率均匀化的效果逐渐变大。
然而,与使第一工序和第二工序的时间为10秒的上述的实施例1-2相比,进一步使第一工序和第二工序的时间变长,成为20秒时,如图9所示,与连续地使C4F6固定地以气体流量70sccm流动的情况(比较例3-2(图7所示))相比,蚀刻速率降低,均匀性也变差。该情况下的平均蚀刻速率是364.7nm/min,均匀性是27.2%左右。另外,在图9中,一部分没有曲线的部位是沉积多、膜厚测定困难的部分。
而且,如图8所示,使第一工序和第二工序的时间为40秒的情况,与连续地使C4F6固定地以气体流量70sccm流动的情况(比较例3-2(图7所示。))相比,蚀刻速率也降低,均匀性也变差。
由上述的结果可知,第一工序和第二工序的时间优选为1秒以上15秒以下,更优选2.5秒以上10秒以下。这样设定第一工序和第二工序的时间能够得到良好的效果,被认为是由于在等离子体蚀刻中产生等离子体的状态微妙变化的过渡状态。
图14以纵轴为发光强度、横轴为经过时间,表示对当使气体流量发生变化时等离子体的状态随时间变化的情况进行调查所得的结果。另外,此时的等离子体发生条件是:
压力:4.0Pa(30mTorr)
高频电力(H/L):500/150W
处理气体(第一工序):HBr/Cl2/NF3=160/20/20sccm
处理气体(第二工序):HBr/Cl2/NF3=140/20/40sccm
在该图中,各曲线从上侧起表示波长226nm:CO、SiCl,波长337nm:N2、NH,波长400nm:SiF、Cl2+、SiN的发光强度。如该图所示,使位于处理腔室的外部的阀动作,切换第一工序和第二工序(使NF3气体流量增大,使HBr减少)时,如该图(A)所示在3秒左右之后等离子体的状态开始发生变化,在10秒左右成为稳定的状态。即,该情况下过渡状态发生7秒左右。另外,从第二工序切换至第一工序(使NF3气体流量减少,使HBr增大)时,如该图(B)所示在3秒左右之后等离子体的状态开始发生变化,在7秒左右成为稳定的状态。即,在比该图(A)所示的情况短的时间内成为稳定的状态。另外,处理腔室的容量大约是68升(litre)。
像这样在切换第一工序和第二工序时过渡状态发生7秒的情况下,如果将第一工序和第二工序的时间设定为5秒以下的短时间,则处理时间中的大部分时间是过渡状态,但是存在等离子体的状态的变化不会到达稳定状态的可能性。在此,假设将第一工序和第二工序的时间设定为8秒左右,则处理时间中的大部分时间是过渡状态,并且能够使等离子体的状态发生变化,直至大致稳定的状态。基于这种理由能够认为,以8秒为中心,使第一工序和第二工序的时间为1秒~15秒左右,由此能够得到上述的效果。
图15表示使等离子体的发生条件为以下条件时同样测定等离子体的发光强度所得的结果。
压力:4.7Pa(35mTorr)
高频电力(H/L):2000/4000W
处理气体(第一工序(10秒)):C4F6/O2/Ar=60/65/200sccm
处理气体(第二工序(10秒)):C4F6/O2/Ar=80/65/200sccm
另外,示出该情况下波长250-270:CF的发光强度。在与上述相同的条件下,以下各图示出各情况下的发光强度,即,图16为使第一工序和第二工序的时间为5秒的情况,图17为使Ar气体的流量增大至900sccm的情况,图18为使压力增大至9.4Pa(70mTorr)的情况。另外,处理腔室的容量约为68升。
如该图15~图18所示,当使Ar气体的流量增大时,发光强度的变动幅度减少,使压力增大时,发光强度的变动幅度增大,但是对过渡状态的时间的长度不产生大的影响。
其次,对实施例2进行说明。在实施例2中,对厚度约为600nm的碳膜进行等离子体蚀刻,形成线和空间的图案。在实施例2中使用的半导体晶片,如图19所示,在厚度约为1微米的热氧化膜120上形成有厚度约为600nm的碳膜121,在其上形成有厚度约为60nm的氧化硅膜(SiO2膜)122、厚度约为30nm的反射防止膜123。而且,在反射防止膜123上形成有被图案形成为规定图案的厚度约为100nm的光致抗蚀剂124。在实施例2中,将该光致抗蚀剂124作为掩模,对反射防止膜123和氧化硅膜122进行蚀刻之后,将该氧化硅膜122作为掩模,对碳膜121进行等离子体蚀刻。
碳膜121的等离子体蚀刻的条件如下所述。
压力:0.67Pa(5mTorr)
高频电力(H/L):500/500W
处理气体(第一工序):HBr/O2=40/40sccm
处理气体(第二工序):HBr/O2=0/80sccm
处理时间:(第一工序11秒+第二工序11秒)×4(合计88秒)
温度(上部/侧部/载置台):100/80/40℃
晶片背面侧氦气压力(中央部分/周边部分):1330/1330Pa(10/10Torr)
在实施例2中,能够获得与作为掩模层的氧化硅膜122的选择比的同时,对厚度约为600nm的碳膜121进行等离子体蚀刻,形成线和空间的规定形状的图案。图20(a)表示该实施例2的图案的电子显微镜照片。
作为比较例4,除了将处理气体固定为以下条件,即“处理气体:HBr/O2=40/40sccm”之外,还在与实施例2相同的条件下对同一样品进行等离子体蚀刻。其结果,在蚀刻中途发生蚀刻终止,不能够蚀刻至最后。图20(b)表示该比较例4的图案的电子显微镜照片。
作为比较例5,除了将处理气体固定为以下条件,即“处理气体:O2=80sccm”之外,在与第二实施例相同的条件下对同一样品进行等离子体蚀刻。其结果,与作为掩模层的氧化硅膜122的选择比不充分,不能够维持掩模的状态,另外,碳膜121的CD变细。图20(c)表示该比较例5的图案的电子显微镜照片。
其次,对实施例3进行说明。在实施例3中,在位于厚度约为300nm的碳膜的下侧的厚度约为400nm的非晶硅(amorphoussilicon)膜,形成孔的图案。在实施例3中使用的半导体晶片,如图21所示,在厚度约为400nm的非晶硅膜131上形成有厚度约为300nm的碳膜132,其上形成有厚度约为60nm的氧化硅膜(SiO2膜)133、反射防止膜134。而且,在反射防止膜134上形成有被图案形成为规定图案的厚度约为100nm的光致抗蚀剂135。在实施例3中,将该光致抗蚀剂135作为掩模对反射防止膜134和氧化硅膜133进行蚀刻之后,将该氧化硅膜133作为掩模对碳膜132进行蚀刻,进一步,对非晶硅膜131进行等离子体蚀刻。
非晶硅膜131的等离子体蚀刻的条件如下所述。
压力:16.0Pa(120mTorr)
高频电力(H/L):2500/1300W
处理气体(第一工序):NF3/HBr/O2=0/300/20sccm
处理气体(第二工序):NF3/HBr/O2=5/300/20sccm
处理时间:(第一工序10秒+第二工序10秒)×3(合计60秒)
温度(上部/侧部/载置台):100/80/80℃
晶片背面侧氦气压力(中央部分/周边部分):1330/1330Pa(10/10Torr)
在实施例3中,能够对厚度约为400nm的非晶硅膜131进行等离子体蚀刻,形成规定形状的孔的图案。图22表示该实施例3的图案的电子显微镜照片。
以上,以实施方式和实施例对本发明进行了说明,但是本发明不限定于该实施方式和实施例,显然能够进行各种变形。

Claims (4)

1.一种半导体装置的制造方法,其使用等离子体蚀刻装置,对基板进行蚀刻,所述等离子体蚀刻装置具有:处理腔室;在处理腔室内对形成有掩模和被蚀刻膜的基板水平地支承,并具有作为下部电极的功能的载置台;和在载置台的上方以与载置台平行地相对的方式设置,并具有作为上部电极的功能的喷淋头,并且所述制造方法包括将基板收容在处理腔室内并对形成在所述基板的被蚀刻膜进行蚀刻的等离子体蚀刻工序,所述半导体装置的制造方法的特征在于:
在所述等离子体蚀刻工序中,
对所述处理腔室内供给处理气体,该处理气体包括规定的多种气体的混合气体,
并且,将一个循环的工序以等离子体中途不消失的方式连续地至少重复进行三次以上,该一个循环的工序包括:使所述多种气体中的至少一种气体的流量,在第一时间中为第一流量的第一工序和在第二时间中为流量与所述第一流量不同的第二流量的第二工序,
所述第一时间和所述第二时间为1秒以上15秒以下,
所述第一工序中的所述处理气体的总流量与所述第二工序中的所述处理气体的总流量相同,或者在双方不同的情况下,总流量之差为多的一方的总流量的10%以下,
在所述第一工序和所述第二工序中的任一工序中,用于通过所述掩模对所述被蚀刻膜进行蚀刻的气体包含在所述处理气体中,并且所述第一工序和所述第二工序都进行所述被蚀刻膜的蚀刻,
所述掩模包括氧化硅膜,
所述被蚀刻膜为非晶硅膜,
所述处理气体由NF3气体、HBr气体和O2气构成,
在所述第一工序和所述第二工序中,将NF3气体的流量变更为所述第一流量和所述第二流量。
2.如权利要求1所述的半导体装置的制造方法,其特征在于:
所述第一时间和所述第二时间为2.5秒以上10秒以下。
3.如权利要求1或2所述的半导体装置的制造方法,其特征在于:
所述第一时间和所述第二时间相同。
4.一种等离子体蚀刻装置,其包括:将形成有掩模和被蚀刻膜的基板收容在内部并对形成在所述基板的被蚀刻膜进行蚀刻的处理腔室;在处理腔室内对基板水平地支承,并具有作为下部电极的功能的载置台;在载置台的上方以与载置台平行地相对的方式设置,并具有作为上部电极的功能的喷淋头;处理气体供给机构,对所述处理腔室内供给包括规定的多种气体的混合气体的处理气体;和使所述处理气体等离子体化的等离子体生成机构,所述等离子体蚀刻装置的特征在于:
在所述处理腔室内具备控制机构,该控制机构进行控制以执行权利要求1~权利要求3中任一项所述的半导体装置的制造方法中的等离子体蚀刻工序。
CN201110035366.9A 2010-02-05 2011-01-31 半导体装置的制造方法和等离子体蚀刻装置 Active CN102169823B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010-024552 2010-02-05
JP2010024552A JP5608384B2 (ja) 2010-02-05 2010-02-05 半導体装置の製造方法及びプラズマエッチング装置

Publications (2)

Publication Number Publication Date
CN102169823A CN102169823A (zh) 2011-08-31
CN102169823B true CN102169823B (zh) 2015-11-25

Family

ID=44354057

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110035366.9A Active CN102169823B (zh) 2010-02-05 2011-01-31 半导体装置的制造方法和等离子体蚀刻装置

Country Status (5)

Country Link
US (2) US8491805B2 (zh)
JP (1) JP5608384B2 (zh)
KR (1) KR101742324B1 (zh)
CN (1) CN102169823B (zh)
TW (1) TWI508164B (zh)

Families Citing this family (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
US9406709B2 (en) 2010-06-22 2016-08-02 President And Fellows Of Harvard College Methods for fabricating and using nanowires
US8546742B2 (en) 2009-06-04 2013-10-01 Zena Technologies, Inc. Array of nanowires in a single cavity with anti-reflective coating on substrate
US8519379B2 (en) 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
US8229255B2 (en) 2008-09-04 2012-07-24 Zena Technologies, Inc. Optical waveguides in image sensors
US9515218B2 (en) 2008-09-04 2016-12-06 Zena Technologies, Inc. Vertical pillar structured photovoltaic devices with mirrors and optical claddings
US9000353B2 (en) 2010-06-22 2015-04-07 President And Fellows Of Harvard College Light absorption and filtering properties of vertically oriented semiconductor nano wires
US8890271B2 (en) * 2010-06-30 2014-11-18 Zena Technologies, Inc. Silicon nitride light pipes for image sensors
US8889455B2 (en) 2009-12-08 2014-11-18 Zena Technologies, Inc. Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor
US8866065B2 (en) 2010-12-13 2014-10-21 Zena Technologies, Inc. Nanowire arrays comprising fluorescent nanowires
US8735797B2 (en) 2009-12-08 2014-05-27 Zena Technologies, Inc. Nanowire photo-detector grown on a back-side illuminated image sensor
US9478685B2 (en) 2014-06-23 2016-10-25 Zena Technologies, Inc. Vertical pillar structured infrared detector and fabrication method for the same
US8507840B2 (en) 2010-12-21 2013-08-13 Zena Technologies, Inc. Vertically structured passive pixel arrays and methods for fabricating the same
US8269985B2 (en) 2009-05-26 2012-09-18 Zena Technologies, Inc. Determination of optimal diameters for nanowires
US8274039B2 (en) 2008-11-13 2012-09-25 Zena Technologies, Inc. Vertical waveguides with various functionality on integrated circuits
US9299866B2 (en) 2010-12-30 2016-03-29 Zena Technologies, Inc. Nanowire array based solar energy harvesting device
US9343490B2 (en) 2013-08-09 2016-05-17 Zena Technologies, Inc. Nanowire structured color filter arrays and fabrication method of the same
US8748799B2 (en) 2010-12-14 2014-06-10 Zena Technologies, Inc. Full color single pixel including doublet or quadruplet si nanowires for image sensors
US8791470B2 (en) 2009-10-05 2014-07-29 Zena Technologies, Inc. Nano structured LEDs
US9082673B2 (en) 2009-10-05 2015-07-14 Zena Technologies, Inc. Passivated upstanding nanostructures and methods of making the same
US8299472B2 (en) 2009-12-08 2012-10-30 Young-June Yu Active pixel sensor with nanowire structured photodetectors
US8835831B2 (en) 2010-06-22 2014-09-16 Zena Technologies, Inc. Polarized light detecting device and fabrication methods of the same
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR20130011569A (ko) * 2011-07-22 2013-01-30 삼성전자주식회사 콘택홀 형성 방법 및 이를 형성하기에 적합한 식각 장치
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014082228A (ja) * 2012-10-12 2014-05-08 Tokyo Electron Ltd プラズマエッチング方法
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6140575B2 (ja) 2013-08-26 2017-05-31 東京エレクトロン株式会社 半導体装置の製造方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6158111B2 (ja) * 2014-02-12 2017-07-05 東京エレクトロン株式会社 ガス供給方法及び半導体製造装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
KR20160012302A (ko) 2014-07-23 2016-02-03 삼성전자주식회사 기판 제조 방법 및 그에 사용되는 기판 제조 장치
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9865471B2 (en) * 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
KR20170002764A (ko) 2015-06-29 2017-01-09 삼성전자주식회사 반도체 소자의 제조 방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6586328B2 (ja) * 2015-09-04 2019-10-02 東京エレクトロン株式会社 被処理体を処理する方法
JP6498152B2 (ja) * 2015-12-18 2019-04-10 東京エレクトロン株式会社 エッチング方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11037798B2 (en) * 2016-11-09 2021-06-15 Tokyo Electron Limited Self-limiting cyclic etch method for carbon-based films
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
TWI793218B (zh) 2017-12-16 2023-02-21 美商應用材料股份有限公司 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN108346572B (zh) * 2018-02-09 2020-06-30 信利(惠州)智能显示有限公司 氧化硅膜和氮化硅膜的表面处理方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5595627A (en) * 1995-02-07 1997-01-21 Tokyo Electron Limited Plasma etching method

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0473287A (ja) 1990-07-11 1992-03-09 Mitsubishi Electric Corp 抄紙機の制御装置
JPH04125924A (ja) 1990-09-17 1992-04-27 Mitsubishi Electric Corp プラズマエッチング方法
JPH0883694A (ja) * 1994-09-09 1996-03-26 Oki Electric Ind Co Ltd プラズマ制御方法およびプラズマ発生装置
JPH11195641A (ja) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
JP4073287B2 (ja) 2002-10-22 2008-04-09 株式会社ケンウッド 可倒式操作パネルの装着構造
US20050241671A1 (en) 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US7416676B2 (en) * 2005-02-16 2008-08-26 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
US20080194107A1 (en) * 2007-02-08 2008-08-14 Nec Electronics Corporation Method of manufacturing semiconductor device
JP5277628B2 (ja) * 2007-12-21 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2008166844A (ja) * 2008-03-17 2008-07-17 Hitachi High-Technologies Corp プラズマ処理装置
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5595627A (en) * 1995-02-07 1997-01-21 Tokyo Electron Limited Plasma etching method

Also Published As

Publication number Publication date
CN102169823A (zh) 2011-08-31
US20130302993A1 (en) 2013-11-14
US20110195577A1 (en) 2011-08-11
TW201145384A (en) 2011-12-16
KR101742324B1 (ko) 2017-05-31
US8772172B2 (en) 2014-07-08
JP5608384B2 (ja) 2014-10-15
US8491805B2 (en) 2013-07-23
JP2011165769A (ja) 2011-08-25
TWI508164B (zh) 2015-11-11
KR20110091462A (ko) 2011-08-11

Similar Documents

Publication Publication Date Title
CN102169823B (zh) 半导体装置的制造方法和等离子体蚀刻装置
US11410860B2 (en) Process chamber for etching low k and other dielectric films
TWI743249B (zh) 用於高深寬比結構之移除方法
US9018098B2 (en) Silicon etch with passivation using chemical vapor deposition
CN102655086B (zh) 半导体器件的制造方法
CN101826435B (zh) 等离子蚀刻方法及等离子蚀刻装置
KR101223819B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
CN101241859B (zh) 等离子体蚀刻方法和装置、控制程序和计算机存储介质
JP2005508078A (ja) 高アスペクト比形態のエッチング方法
CN100521111C (zh) 等离子体蚀刻方法
CN104882360A (zh) 等离子体处理装置的清洁方法
KR20160078477A (ko) 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
CN102194686A (zh) 等离子体蚀刻方法和等离子体蚀刻装置
KR102280572B1 (ko) 플라즈마 처리 방법
US9543164B2 (en) Etching method
US11651965B2 (en) Method and system for capping of cores for self-aligned multiple patterning
US11682560B2 (en) Systems and methods for hafnium-containing film removal
US10283370B1 (en) Silicon addition for silicon nitride etching selectivity
US11315795B2 (en) Substrate processing method and substrate processing apparatus
US20210242036A1 (en) Method for etching oxide semiconductor film and plasma processing apparatus
KR20200121238A (ko) 기판 처리 방법 및 기판 처리 장치
CN117253788A (zh) 一种侧壁刻蚀方法和半导体工艺设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant