KR101792165B1 - 박막 증착 반응기 및 박막 층의 반응계내 건식 세정 공정 및 방법 - Google Patents

박막 증착 반응기 및 박막 층의 반응계내 건식 세정 공정 및 방법 Download PDF

Info

Publication number
KR101792165B1
KR101792165B1 KR1020157008093A KR20157008093A KR101792165B1 KR 101792165 B1 KR101792165 B1 KR 101792165B1 KR 1020157008093 A KR1020157008093 A KR 1020157008093A KR 20157008093 A KR20157008093 A KR 20157008093A KR 101792165 B1 KR101792165 B1 KR 101792165B1
Authority
KR
South Korea
Prior art keywords
gas
etchant gas
reactor chamber
etchant
chamber
Prior art date
Application number
KR1020157008093A
Other languages
English (en)
Other versions
KR20150095611A (ko
Inventor
라예쉬 오데드라
Original Assignee
시스타 케미칼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 시스타 케미칼즈 인코포레이티드 filed Critical 시스타 케미칼즈 인코포레이티드
Publication of KR20150095611A publication Critical patent/KR20150095611A/ko
Application granted granted Critical
Publication of KR101792165B1 publication Critical patent/KR101792165B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 금속유기 증기상 에피택시(MOVPE) 반응기의 내부 표면을 건식 에칭하여 증착물을 제거하기 위한, 티오닐 클로라이드 및 관련 물질의 용도에 관한 것이다. 당해 방법은 또한 상기 기판의 세정 및 공정을 위한 이러한 반응기 내의 공정 기판의 건식 에칭에 유용하다. 본 발명은 GaN 및 관련 물질과 같은 III 내지 V족 반도체를 기재로 한 고휘도 LED의 제조에 사용되는 화학 증착 반응기에 특히 적용 가능하다. 공정의 특징은 열, UV 및 플라즈마 활성화 건식 세정, 및 순 물질 또는 구성 기체의 배합물, 예를 들면, CO, SO, SO2 또는 NO과 할로겐으로부터 형성된, COCl2, COBr2, COI2, SOI2, SOCl2, SOBr2, SO2Cl2, SO2Br2, NOCl, NOBr, NOI, S2Cl2, S2Br2, SCl2, SBr2, SOClBr, SOClF 및 SOFBr 등의 에천트 기체를 사용하여, 목적하는 효과를 달성할 수 있다.

Description

박막 증착 반응기 및 박막 층의 반응계내 건식 세정 공정 및 방법{PROCESS AND METHOD FOR IN-SITU DRY CLEANING OF THIN FILM DEPOSITION REACTORS AND THIN FILM LAYERS}
본 발명은 박막 증착 반응기의 내부 표면의 건식 에칭 또는 세정에 대한 방법, 조성물 및 장치에 관한 것이다.
유기금속 증기상 에피택시(OMVPE) 또는 금속유기 화학 증착(MOCVD)이라고도 공지되어 있는, 금속유기 증기상 에피택시(MOVPE)는 잘알려진 화학 증착법이다. MOVPE에서 초순수 기체는 반응기로 주입되고 반도체 웨이퍼로 매우 박층의 원자를 증착시키도록 미세하게 투여된다. 필요한 화학 원소를 함유하는 유기 화합물 또는 금속유기물과 수소화물의 표면 반응은 결정 성장에 대한 상태 - 물질 및 화합물 반도체의 에피택시(epitaxy)를 생성한다. 통상의 규소 반도체와는 달리, 이러한 반도체는 III족과 V족, II족과 VI족, IV족 또는 IV족, V족 및 VI족 원소의 조합을 함유할 수 있다.
증기상 에피택시(VPE) 기술에서, 반응성 기체는 반응기에서 상승된 온도에서 합쳐져 화학 상호 작용을 유발하여, 기판 위에 물질을 증착시킨다. 원자층 증착(ALD) 시스템에서, 반응성 기체는 순차적으로 도입되어 목적하는 물질의 등각 박막의 자체 제한적인 성장을 제공한다. 두 경우 모두, 반응기는 사용되는 화학 물질과 반응하지 않는 물질로 제조된 챔버이다. 이는 또한 고온에 견디어야 한다. 이 챔버는 반응기 벽, 라이너, 서셉터(susceptor), 기체 주입 유닛 및 온도 조절 유닛을 포함한다. 통상적으로, 반응기 벽은 스테인레스 강 또는 석영으로 제조된다. 세라믹 또는 특수 유리, 예를 들면, 석영은 반응기 벽과 서셉터 사이의 반응기 챔버내 라이너로서 종종 사용된다. 과열을 방지하기 위하여, 냉각제, 예를 들면, 물을 반응기 벽 내부의 채널로 유동시킬 수 있다. 기판은 조절된 온도에서 유지되는 서셉터 위에 자리잡는다. 서셉터는 사용되는 금속유기 화합물에 대해 저항성인 물질로 제조되며; 때로는 흑연이 사용된다. 성장하는 질화물 및 관련 물질에 대하여, 흑연 서셉터상의 특수 피막은 암모니아(NH3) 기체에 의한 붕괴를 방지하는 데 필요하다.
MOCVD를 수행하는 데 사용되는 반응기의 한 유형은 냉-벽 반응기이다. 냉-벽 반응기에서, 기판은 받침대(pedestal)에 의하여 지지되고, 이는 또한 서셉터로서 작용하기도 한다. 받침대/서셉터는 반응 챔버내 열 에너지의 일차 원점이다. 서셉터만이 가열되어, 기체는 고온 웨이퍼 표면에 이를 때까지 반응하지 않는다. 받침대/서셉터는 탄소 등의 방사선 흡수 물질로 제조된다. 대조적으로, 냉-벽 반응기내 반응 챔버의 벽은 통상적으로 전자기 방사선에 대체로 투과성인 석영으로 제조된다. 냉-벽 반응기내 반응 챔버 벽은 고온 받침대/서셉터로부터 방사하는 열에 의하여 간접적으로 가열될 수 있지만, 받침대/서셉터 및 받침대/서셉터 위에 지지된 기판보다 차가운 상태로 유지될 것이다.
일부 경우, 예를 들면, 열-벽 CVD에서는, 전체 챔버가 가열된다. 이는 특정 기체에 필요할 수 있으며, 이는 기판 표면에 이르기 전에 예비 크래킹되어 기판에 점착시켜야 한다.
기체는 버블러로 공지된 장치를 통하여 반응기 챔버로 도입된다. 버블러에서 캐리어 기체(통상적으로 질소 또는 수소)를 금속유기 액체를 통하여 버블링시켜, 기체가 일부 금속유기 증기를 픽업(pick up)하여 이를 기체 상 속의 반응기로 수송하도록 한다. 수송된 금속유기 증기의 양은 캐리어 기류의 속도, 버블러 온도 및 금속유기 전구체의 증기압에 좌우된다.
박막 증착을 수행시, 목적하는 표면에 증착된 막뿐만 아니라, 서셉터, 벽 및 천장을 포함하는 MOCVD 또는 ALD 반응기의 내부 표면 전체에 실시한다. 반응기를 세정하지 않고 더 자주 사용할수록, 증착물은 더 두꺼워진다. 증착물은 결국 박리하기 시작하여, 기판 웨이퍼로 떨어질 수 있는 입자를 생성시켜, 웨이퍼를 오염시키고 저수율을 생성시키거나 웨이퍼를 완전히 손실하게 한다. 반응 챔버로 유동하는 반응 기체도 증착물에 의하여 오염될 수 있다. 이를 피하기 위하여, 반응기를 정기적으로 세정시켜야 한다. 형태 및 반응기에 사용된 물질에 따라, 효과적인 세정은 완전한 반응기 분해(strip down) 및 습식 세정을 필요로 할 수 있으며, 이는 많은 시간이 소모되고 반응기 효율을 감소시킨다. 또한, 반응기는 통상적으로 316L 및 304 스테인레스 강, 탄화규소, 흑연, 텅스텐, 알루미늄, 열분해 질화붕소 및/또는 에틸렌 프로필렌 디엔(EPDN) 중합체 등의 광범위한 물질로 구성된다. 상이한 유형의 에천트(etchant) 또는 기타 세정제를 사용하지 않고 이러한 유형의 표면 전체에서 증착물을 세정하는 것은 곤란할 수 있다. 따라서, 반응기 내부의 보다 간단하고 효율적인 세정 수단이 필요하다.
건조 HCl 기체, HF 기체 또는 기타 반응성 기체는 퍼지 기체와 함께, 건식 에칭에 의하여 특정한 증착물을 제거하는 데 사용될 수 있다. 반응성 기체와 접촉시, 금속 성분은 휘발성 할라이드로 전환되어 퍼지 기체로 제거된다. 이러한 매우 반응성인 에천트의 사용은 에천트가 반응 용기 내에서 성분들에 대해 부식성이고 고온을 필요로 하므로 곤란하다.
미국 공개공보 제2009130860호(Miya et al)에는 반응기 챔버로부터, 고 유전율 막, 예를 들면, 예를 들면, 하프늄, 지르코늄 또는 산화알루미늄을 제거하기 위한 열 에칭 기술이 기재되어 있다. 할라이드계 에천트 기체, 예를 들면, BCl3은 챔버에 공급되고, 여기서 할라이드 성분이 방출되어, 붕소를 유리시켜 증착된 산화물 막으로부터의 산소와 우선적으로 결합되어, 증착 막 내의 화학 결합을 파괴한다. 그 다음, 반응 생성물은 모두 반응 챔버로부터 퍼징될 수 있다. 보호 BxCly 막이 막 증착물 위에 형성되는 경우, 산소계 성분이 에천트 기체에 첨가될 수 있고, 이는 에칭 반응을 가속화시킨다. 에칭 반응이 수행되는 온도 및 압력을 변경시키는 것은 또한 에칭 속도에 영향을 미칠 수 있다. 에칭 절차는 증착된 막을 만족스럽게 제거하는 데 수 회의 사이클이 걸릴 수 있다.
유기계 물질을 사용하여 MOCVD 반응기를 세정하는 것도 공지되어 있다. 국제 공개공보 제WO2011/117064 A1호(Hess et al.)에는 공정 챔버내 서셉터 상에 위치한 기판 위로, 다성분 반도체 층, 특히, III-V족 물질을 증착하는 방법이 예시되어 있다. 공정 챔버 내의 하나 이상의 공정 기체의 열분해는 기판 위의 증착 층 및 공정 챔버의 표면의 불필요한 부착물을 생성한다. 증착 전 또는 후, 부착물은 유리 라디칼을 포함하는 반응성 물질, 바람직하게는 알킬 라디칼 또는 기타 탄화수소 화합물을 함유하는 퍼지 기체를 공정 챔버 속으로 도입함으로써 제거한다.
미국 공개공보 제2004/0033310호(Sarigiannis et al.)에는 기판에 층을 증착시키는 한편, 반응기 챔버의 내부 벽 위의 증착을 최소화시키는 증착 방법이 기재되어 있다. 공정 기체는 공정 챔버로 도입하고, 여기서 기판은 가열된 서셉터에 의하여 지탱된다. 공정 기체는 가열된 공정 챔버 내부에서 열분해된다. 기판 위에 층이 형성되고, 일부 물질이 공정 챔버 표면에 부착된다. 반응성 퍼지 기체가 증착 챔버에 제공되어, 챔버 벽의 표면 위로 반응성 기체 커튼을 효과적으로 형성하지만, 기판에서 떨어져 있다. 부착 물질은 퍼지 기체와 반응하여 휘발성 생성물을 형성하고, 이는 이후 공정 챔버로부터 제거된다.
대안적으로, 반응 용기의 성분들은 습식 에칭에 의하여 세정될 수 있으며, 이는 용기의 해체(dismantling)에 이어서 적합한 시약 중에서의 성분들의 세정을 수반한다. 습식 에칭은 건식 반응성 기체 에칭과 비교하여 시간 및 노동 많이 소모된다는 점에서 불리하다.
건식 에칭 공정은 바람직한 층 물질의 증착을 최대화시키고/시키거나 증착 위치를 보다 잘 조절하기 위하여 사용되어 왔다. 예를 들면, 미국 특허 제5326431호(Kadomura)에는 SOF2, SOCl2 및 SOBr2를 포함하는 황 함유 화합물을 포함하는 이온화 에천트 기체를 사용하는, TiON, Si3N4 또는 TiN과 같은 질소계 화합물 막에 의하여 마스킹된 Si 또는 Al계 기판 물질용 건식 에칭 방법이 기재되어 있다. 황 화합물의 존재로 마스킹 층 위에 보호 층을 형성하는 유리 황이 생성되어, 에칭의 이방성이 개선된다. 공정의 효율성은 에천트 기체에 할로겐 및/또는 질소계 화합물을 가하여 개선될 수 있다.
미국 특허 제5445712호(Yanagida)에는 플루오로카본 화합물 및 옥시할로겐 화합물, 예를 들면, 카보닐, 티오닐, 설퍼릴, 니트로실 또는 니트릴 할라이드를 함유하는 이온화 에천트 기체를 사용하는 SiO2계 물질에 대한 건식 에칭 방법이 기재되어 있다. 옥시할로겐은 SiO2로부터 산소를 추출하는 효과를 가져서, 이온화 플루오로카본에 의하여 규소의 에칭을 증가시킨다.
미국 특허 제5378653호(역시 Yanagida)에는 관능 그룹, 예를 들면, 티오닐 또는 설퍼릴 및 할로겐 원자를 갖는 할로겐 화합물을 갖는 에천트 기체를 사용하는 Al-계 금속화 층에 대한 건식 에칭 방법이 기재되어 있다. 에천트 기체는 또한 황계 화합물을 포함할 수도 있다.
JP 제62280336호(Shoji)에는 혼합물을 분쇄하고 이를 COCl2, CCl4 또는 SOCl2 등의 탄소 및 염소 기체의 존재하에 가열하여, TiO2, Co2O3, Al2O3, SiO2 등의 금속 산화물의 기본 물질로부터 루테늄을 회수하는 방법이 기재되어 있다. 기본 금속 산화물은 기체상 염화물을 형성하고, 이는 증발에 의하여 제거되는 한편, Ru의 염화물은 고온에 의하여 해리되어 비중 분리에 의하여 잔사로부터 Ru 금속을 회수하도록 한다.
이들 문헌은 일반적으로 다중 에천트 혼합물과 플라즈마의 조합을 상세히 설명하여 에칭을 달성한다. 또한, 이들 문헌의 세부 사항은 매우 구체적이어서, 특정 기판 및 증착 물질에 관한 것이다.
그러므로, 본 발명의 목적은 위의 곤란성을 극복하거나 최소화시키는 반응기 챔버의 세정 방법을 제공하는 것이다.
본 발명의 추가의 목적은 공정 챔버를 세정하는 저온의 효율적인 방법을 제공하는 것이다.
본 발명의 추가의 목적은 증착 공정 이전에 기판을 세정하는 방법을 제공하는 것이다.
본 발명의 추가의 목적은 기판 위의 마스킹된 층을 에칭하는 방법을 제공하는 것이다.
본 발명의 이러한 목적 및 기타 목적은 발명의 개요 및 후속하는 바람직한 구현예의 상세한 설명을 참조로 하여 인정될 것이다.
본 발명은 실질적인 반응기 정지 시간 또는 분해의 필요 없이 증착물을 간단하고 효과적으로 제거하기 위하여 내부 반응기 챔버로부터 불필요한 증착물을 건식 에칭시키는 방법 및 물질을 제공한다. 특히, 본 발명은 MOCVD 및 ALD 반응기를 건식 에칭(또는 건식 세정)하여 시간 경과에 따라 축적되는 증착물을 제거하기 위한 티오닐 클로라이드(SOCl2) 및 관련 물질의 용도에 관한 것이다. 이는 비소, 질소 및 인 중의 하나 이상과 결합된, 인듐, 갈륨 및 알루미늄(In, Ga, Al) 중의 하나 이상을 포함하는 원소를 포함하는 III 내지 V족 반도체를 기재로 한 고휘도 LED 및 기타 장치의 제조에 사용되는 MOCVD 반응기에 특히 적합할 수 있다.
본 발명의 특징은 열 건식 세정, UV 활성화 건식 세정, 및 티오닐 클로라이드 또는 관련 비금속 할라이드(SOCl2, SOBr2, COCl2, NOCl, NOBr, SOCl, SOBr 순 물질 또는 CO와 Cl2, NO와 Br2 등의 기체의 배합물)의 사용을 포함하여 목적하는 효과를 달성한다. Cl2 또는 Br2 등의 활성 할로겐을 기체상 혼합물에 가하여 에칭/세정 효과 및/또는 효율성을 개선시킬 수 있다.
본 발명의 이점은 순수한 화합물 또는 기체 혼합물을 단순히 사용하여 반응계내 세정 화합물을 생성하는 것이다. 신규한 공정은 열 또는 광 활성화에 의존하여 효율적인 건식 에칭을 달성한다.
한 측면에서, 본 발명은 화학 반응기 챔버의 내부 표면으로부터 또는 화학 반응기 챔버내 기판으로부터 반응 생성 증착물을 세정하는 방법으로서, 반응기 챔버를 100℃ 이상의 상승된 온도로 가열하는 단계; 에천트 기체를 반응기 챔버로 도입하는 단계; 에천트 기체를 활성화시키는 단계; 에천트 기체와 반응 생성 증착물 사이에 에칭 반응을 일어나도록 하여 상기 반응기 챔버 내의 에칭 반응 생성물의 실질적인 재증착 없이 반응 생성 증착물을 제거하는 단계; 및 에천트 기체를 에칭 반응의 실질적으로 모든 기체상 생성물과 함께 배기시키는 단계를 포함하고, 상기 반응기 챔버내 압력은 20 내지 1000mbar인 방법을 포함한다.
에천트 기체는 화학식 AOmXn으로 표시되고, 상기 식에서, A는 C, N 및 S로 이루어진 그룹으로부터 선택되고; O는 산소이고; X는 할로겐이고; 아래 첨자인 m 및 n은 0 보다 크다. 대안적으로, 에천트 기체는 화학식 AOmXnYp로 표시되고, 상기 식에서, A는 C, N 및 S로 이루어진 그룹으로부터 선택되고; O는 산소이고; X 및 Y는 상이한 할로겐이고; 아래 첨자 m, n 및 p는 0 보다 크다. 또 다른 대안으로서, 에천트 기체는 화학식 AmXn으로 표시되고, 상기 식에서, A는 C, N 및 S로 이루어진 그룹으로부터 선택되고; X는 할로겐이고; 아래첨자 m 및 n은 0 보다 크다.
또 다른 측면에서, 본 발명은 에천트 기체를 챔버로 도입하기 전에 생성하는 추가의 단계를 포함한다. 이러한 생성은 캐리어 기체를 복수의 액상의 화학 성분들을 통하여 버블링시킨 다음, 생성되는 기체를 수집 및/또는 2개 이상의 화학 성분 기체를 혼합하는 형태를 취할 수 있다. 대안적으로 또는 추가로, 캐리어 기체는 액상의 화학 성분을 통하여 버블링시켜 에천트 기체를 챔버로 도입하기 전에, 액상의 화학 성분을 에천트로 휘발시킬 수 있다.
추가의 측면에서, 본 발명은 에천트 기체를 반응기 챔버로 도입하기 전에, 기체 활성화 챔버에서 에천트 기체를 활성화 메카니즘에 노출시켜 활성화시킬 수 있다. 기체 활성화 메카니즘은 열, 자외선 및 플라즈마 방전 중의 어느 하나 이상일 수 있다. 대안적으로, 에천트 기체는 반응기 챔버로 도입한 후 이를 열 활성화 메카니즘에 노출시켜 활성화시킬 수 있고; 열 활성화 메카니즘은 반응기 챔버내 전체 온도, 및 반응기 챔버내 국소적 열원으로 이루어진 그룹으로부터 선택된다.
추가의 측면에서, 에천트 기체는 또한 첨가제를 함유할 수도 있다. 첨가제는 할로겐 기체일 수 있거나, 화학식 RX(여기서, R은 H 및 Me로 이루어진 그룹으로부터 선택되고; X는 F, Cl, Br 및 I로 이루어진 그룹으로부터 선택된, 할로겐이다)로 나타낼 수 있다.
이상은 본 발명의 측면의 그리고 이의 단지 일부의 광범위한 개요로서만 의도되었다. 본 발명의 제한 또는 요건을 한정하는 것이 의도되지 않았다. 본 발명의 기타 측면은 바람직한 구현예의 상세한 설명 및 특허청구범위를 참조하여 인정될 것이다.
본 발명의 바람직한 구현예는 도면을 참조하여 설명할 것이며, 도면에서:
도 1은 통상적인 MOCVD 반응기의 도식적인 단면도이다.
도 2는 열, UV 광 또는 플라즈마 방전에 노출시켜 챔버를 통하여 에천트 기체 유동을 활성화시키는 데 사용되는 기체 활성화 챔버이다.
도 3은 MOVPE 반응기내 증착물을 대표하는 물질로 피복된 기판 위의 열 활성화 건식 에칭을 나타내는 데 사용되는 실험 장치이다.
도 4는 MOVPE 반응기내 증착물로 대표되는 물질로 피복된 기판 위의 다중 에천트 성분들을 사용한 열 활성화 건식 에칭을 나타내는 데 사용되는 실험 장치이다.
도 5는 MOVPE 반응기내 증착물로 대표되는 물질로 피복된 기판 위의 UV 광 활성화 건식 에칭을 나타내는 데 사용되는 실험 장치이다.
도 6은 본 발명에 따르는 시약 기체들의 혼합물을 사용하는 열 활성화 건식 에칭을 나타내는 데 사용되는 실험 장치이다.
도 1을 참조하면, 통상적인 반응기는 반응기 챔버(1)를 포함하고, 여기서 서셉터 플레이트(2)는 하나 이상의 기판(4)을 지지할 수 있다. 하나 이상의 가열 부재(3)가 서셉터(2)의 온도를 조절하는 데 사용될 수 있어, 이를 유효한 박막 증착에 대한 적당한 범위 내에서 필요한 만큼 유지시킨다. 반응성 공정 기체는 하나 이상의 공급 라인(9)을 통하여 챔버(1)에 진입하고, 샤워헤드(5)와 같은 확산 수단을 통하여 챔버(1)로 분포되며, 이는 복수의 오리피스(7)를 갖는 하부 플레이트(6)를 포함하여 기체 공급물을 챔버(1) 전체에 균일하게 확산시킨다. 증착 사이클이 생성한 이후, 챔버는 공급 라인(8)을 통하여 퍼지 가스를 공급하여 퍼징시킬 수 있다. 챔버는 하나 이상의 오리피스(11)를 포함하는 기체 배출구 환(10)을 통하여 기체를 제거함으로써 기체 배기 라인(12)으로 배기시키고, 이는 시스템에 따라, 진공 펌프 및 기체 폐기 수단 또는 재순환 수단에 연결될 수 있다.
사용시, 건식 에칭 공정은 전체 반응기 챔버(1)를 통상적으로 100 내지 400℃ 범위의 상승된 온도로 가열하고, 하나 이상의 공정 기체 공급 라인(9)을 통하여 반응기 챔버(1)로 도입되는, 에천트 기체를 제공하는 공정으로 이루어진다. 기체는 기체 분배 메카니즘, 예를 들면, 샤워 헤드(5)을 통하여 또는 기체 공급 라인(8)을 통하여 도입할 수 있다. 에천트 기체는 기체 배기 환(10)을 통하여 어떠한 생성된 반응 생성물과도 함께 배기되고 기체 배기 라인(12)을 통하여 펌핑 아웃(pumping out)되기 전에, 반응기 챔버(1)를 통하여 유동한다. 반응기 챔버(1)내 기체 압력은 통상적으로 건식 에칭 사이클 동안 20 내지 1000mbar(대기압)에서 유지시킨다.
에천트 기체는 바람직하게는 활성화되어 유리 라디칼의 생성을 강화시키고, 이로써 에칭 공정을 강화시킨다. 이는 도 2에 나타낸 바와 같이, 열 활성화, 자외선(UV) 여기 또는 플라즈마 방전에 의하여 달성될 수 있다. 에천트 기체(14)는 유입구(13)를 통하여 활성화 챔버로 진입한다. 활성화 챔버 내에서, 기체의 용적(15)은 활성화 에너지원(16), 예를 들면, 히터(열 활성화), UV 램프(UV 활성화) 또는 이온화 RF 전계(플라즈마 활성화)에 노출시킨다. 열 활성화는 MOVPE 반응기 용기의 가열에 의하여 수행할 수 있거나, 에천트 기체는 반응기 용기로 주입 전에 가열 챔버에서 예비 가열할 수 있다. UV 또는 플라즈마 방전 활성화의 경우, 에천트 기체는 반응기 용기로 주입 전에 UV 광 또는 무선 주파수 플라즈마 방전에 노출시켜 활성화 챔버에서 활성화된다. 활성화 기체는 배출구(17)를 통하여 활성화 챔버를 빠져나가, MOCVD 반응기 챔버(1)로 통과한다(나타내지 않음).
에천트 기체는 할로겐인, 염소, 브롬 또는 요오드(Cl, Br 또는 I)와 결합된 카보닐, 티오닐 또는 니트로실 그룹(CO, SO 또는 NO)을 포함한다. COCl2, COBr2, COI2, SOI2, SOCl2, SOBr2, SO2Cl2, SO2Br2, NOCl, NOBr, NOI, S2Cl2, S2Br2, SCl2, SBr2, SOClBr, SOClF 및 SOFBr은 적합한 에천트 기체의 예이다. 에천트 기체는 순 물질로부터 유도될 수 있거나, 대안적으로는 Cl2, Br2 또는 I2와 혼합된 CO, SO, SO2 또는 NO와 같은, 개별적인 성분들의 배합물에 의하여 생성될 수 있다. 에천트 기체는 아르곤, 질소 또는 수소와 같은 캐리어 기체와 혼합할 수 있다. 에천트 기체 또는 이의 성분은 기체 상태로 이용 가능한 에천트 성분들의 경우, 하나 이상의 기체 실린더로부터 직접 공급될 수 있다. 통상적으로 액체 상태인 에천트 기체 성분의 경우, 필요한 기체 상태는 바람직하게는 캐리어 기체를 액체 에천트 성분을 포함한 용기를 통하여 버블링시키고, 액체 구성 성분들을 에천트로 휘발시켜, 캐리어 기체와 에천트 증기의 혼합물을 생성함으로써 달성된다. 대안적으로, 액상의 화학 성분들은 증발될 때까지 가열될 수 있고, 이 시점에서 증기는 필요한 경우, 캐리어 기체와 합쳐져, 반응기 챔버(1)로 도입된다. 에천트 기체는 추가량의 할로겐을 함유하여 에칭을 강화시킬 수 있다. 에천트 기체는 추가량의 메틸 할라이드, 수소 할라이드 또는 기타 할로겐 화합물을 함유하여 에칭을 강화시킬 수 있다.
반응기 챔버 내에서, 에천트 기체는 금속 함유 증착물과 반응하여 휘발성 금속 할라이드를 형성하고 이는 에천트 기체의 퍼징으로 제거한다. 통상적인 반응은 금속성 산화물과 퍼지 기체의 반응을 수반하여 카보닐/ 티오닐/ 니트로실 그룹과 배합하는 잔여 산소와 금속성 할라이드를 형성한다. 예를 들면 다음과 같다:
Ga2O3 + 3 SOBr2 → 2 GaBr3 + 3 SO2
2Ga2O3 + 6 SOBrCl → GaClBr2 + GaBrCl2 + GaCl3 + GaBr3 + 6SO2
Ga2O3 + 3 NOBr → GaBr3 + 3 NO2
In2O3 + 3 COCl2 → 2 InCl3 + 3 CO2
기타 금속 함유 증착물 또한 반응하여 금속 할라이드를 형성하고; 산화물은 가장 곤란한 증착물의 예로서 제공되어 산소에 대한 금속의 강한 친화도로 인하여 제거된다.
일단 금속 할라이드가 형성되면, 이는 반응 챔버로부터 제거되어야 한다. 이렇게 하는 한 가지 방법은 챔버내 압력을 감소시켜 반응기 챔버로부터 제거하기 위한 할라이드를 이동시키는 것이다. 단독으로 또는 감소된 챔버 압력과 함께 사용될 수 있는, 또 다른 선택은, 챔버를 할라이드를 증발시키거나 승화시키기에 충분한 온도로 가열하는 것이다. 표 1에는 일부의 통상적인 반응 생성물 및 이의 비점이 열거되어 있다.
반응 생성물 및 이의 비점 온도
물질 B.P(℃) 물질 B.P(℃)
GaCl3 201 AlBr3 263
GaBr3 279 AlI3 191
GaI3 345(승화) NCl3 <71
InCl3 600 NBr3 용융시 폭발
InBr3 >600 NI3 폭발
InI3 210 NH3 -33
AlCl3 183 Me3N 3
실험 결과
일반적으로 도 3을 참조하면, 본 발명의 건식 에칭 과정의 제1 구현예는 다음과 같이 배열된 실험 반응 챔버(27)에서 수행한다. 투입 말단 플랜지(26) 및 산출 말단 플랜지(33)를 갖는 석영관인, 반응 챔버(27)는 시험 기판(32)을 지지할 수 있는, 제1 기판 홀더(28)를 함유한다. 제2 기판 홀더(30) 또한 제공되지만, 실험을 위하여 기판을 보유하지 않는다. 각각의 기판은 적합한 히터(31)에 의하여 가열되고, 이는 예를 들면, 적외선 히터일 수 있다. 예열 구역은 기판 홀더(30) 주위에 생성되며, 여기서 에천트 기류는 기판 홀더(28) 위의 시험 기판(32)에 이르기 전에 예열된다. 기판 홀더(28)의 온도는 반응기 챔버의 말단(35)을 통과하는 열전대 와이어(29)에 의해서와 같이, 필요한 만큼 모니터링될 수 있고, 리코더(36)에서 판독을 생성한다. 반응기 챔버 내의 온도는 바람직하게는 냉각 구역(37)이 산출 말단 플랜지(33) 부근에서 유지되도록 조절된다. 진공 펌프(나타내지 않음)에 연결된 산출 밸브(34)는 반응기 챔버 내의 압력을 조절한다.
기체 투입 라인(20)은 캐리어 기체, 예를 들면, 아르곤, 질소 또는 수소를 시스템으로 공급한다. 캐리어 기체 공급물은 퍼지 사이클 동안 필요할 수 있어, 직접 투입 밸브(21)를 통하여 반응 챔버로 직접 통과할 수 있거나, 버블러 밸브(22)를 통과할 수 있다. 버블러(23)로 진입하는 캐리어 기체는 액체 시약 에칭 성분(24)을 통과하고, 여기서 이는 에천트 증기를 픽업하고 이를 밸브(25)에 의하여 조절되는, 기체 상의 반응기로 수송한다. 일단 기판 홀더의 작동 온도에 이르면, 캐리어 기체의 유동은 폐쇄 밸브(21) 및 개방 밸브(22) 및 (25)에 의하여 시약(24)을 통하여 유도된다. 수득한 에천트 기체는 시험 기판(32)을 가로질러 유동하고 기판(32)상 반응기 증착물을 건식 에칭시킨다. 휘발성 비기체상 반응 생성물은 챔버(27)의 냉각 구역(37)으로 응축된다.
당해 실험을 위하여, 시험 기판(32)은 MOVPE 반응기 구조 물질을 대표하는 물질인, 스테인레스 강을 포함한다. 기판은 각각 III-V족 제작 공정으로부터 수득한 통상의 MOPVE 반응기 증착물, 즉 MOCVD를 사용하여 고온(>700℃)에서 트리메틸 갈륨 Ga(CH3)3 및 암모니아 NH3를 사용하여 GaN을 증착하는 동안 형성된 피막을 가졌다.
실시예 1 - SOCl 2 200℃
피복 기판(32)을 제1 기판 홀더(28)에 위치시켰다. 기판(32)을 연속 캐리어 기체(Ar) 유동하에 기체 유입구(20) 및 직접 투입 밸브(21)로부터 반응기 챔버(27)를 통하여 IR 히터(31)로 200℃로 가열하였다. 일단 기판이 200℃의 온도에 이르면, 캐리어 기체의 유동은 버블러 밸브(22)를 통하여 시약(24)(SOCl2)을 함유하는 버블러(23)로 우회하여, SOCl2가 캐리어 기체에 픽업되도록 하여, 에천트 기체를 형성하였다. 에천트 기체는 밸브(25)를 통하여 반응기 챔버(27)에 진입하고, 기판(32) 위로 통과하고, 스테인레스 강 기판(32) 상의 증착물을 에칭시켰다. 반응은 처음 5분 동안은 매우 신속하였고, 그 다음 보다 느리게 진행되어, 에칭 공정은 10-13분 소요되어 기판을 반짝이는 스테인레스 상태로 세정하였다. 주로 GaCl3 및 SO2인 부산물을 챔버(27)의 냉각 구역(37)에서 회수하였다.
실시예 2 - SOBr 2 200℃
피복 기판(32)을 제1 기판 홀더(28)에 위치시켰다. 기판(32)을 연속 캐리어 기체(Ar) 유동하에 기체 유입구(20) 및 직접 투입 밸브(21)로부터 반응기 챔버(27)를 통하여 IR 히터(31)로 200℃로 가열하였다. 일단 기판이 200℃의 온도에 이르면, 캐리어 기체의 유동은 버블러 밸브(22)를 통하여 시약(24)(SOBr2)을 함유하는 버블러(23)로 우회하여, SOBr2가 캐리어 기체에 픽업되도록 하여, 에천트 기체를 형성하였다. 에천트 기체는 밸브(25)를 통하여 반응기 챔버(27)에 진입하고, 기판(32) 위로 통과하고, 스테인레스 강 기판(32) 상의 증착물을 에칭시켰다. 반응은 매우 신속하고 효율적이어서, 기판을 7-9분 내에 완전히 세정하였다. 주로 GaBr3 및 SO2인 부산물을 챔버(27)의 냉각 구역(37)에서 회수하였다.
실시예 3 - SOBr 2 200° 예열 300℃
피복 기판(32)을 제1 기판 홀더(28)에 위치시켰다. 기판(32)을 연속 캐리어 기체(Ar) 유동하에 기체 유입구(20) 및 직접 투입 밸브(21)로부터 반응기 챔버(27)를 통하여 IR 히터(31)로 200℃로 가열하였다. 제2 기판 홀더(30)를 IR 히터(31)로 300℃로 가열하였다. 일단 적합한 온도에 이르면, 캐리어 기체의 유동은 버블러 밸브(22)를 통하여 시약(24)(SOBr2)을 함유하는 버블러(23)로 우회하여, SOBr2가 캐리어 기체에 픽업되도록 하여, 에천트 기체를 형성하였다. 에천트 기체는 밸브(25)를 통하여 반응기 챔버(27)에 진입하고, 기판 홀더(30) 위로 통과하면서 열 활성화되고, 기판(32) 위로 통과하고, 스테인레스 강 기판(32) 상의 증착물을 에칭시켰다. 반응은 매우 신속하고 효율적이어서, 기판을 3-5분 내에 완전히 세정하였다. 주로 GaBr3 및 SO2인 부산물을 챔버(27)의 냉각 구역(37)에서 회수하였다.
실시예 4 - SOClBr 200℃
피복 기판(32)을 제1 기판 홀더(28)에 위치시켰다. 기판(32)을 연속 캐리어 기체(Ar) 유동하에 기체 유입구(20) 및 직접 투입 밸브(21)로부터 반응기 챔버(27)를 통하여 IR 히터(31)로 200℃로 가열하였다. 일단 기판이 200℃의 온도에 이르면, 캐리어 기체의 유동은 버블러 밸브(22)를 통하여 시약(24)(SOClBr)을 함유하는 버블러(23)로 우회하여, SOClBr이 캐리어 기체에 픽업되도록 하여, 에천트 기체를 형성하였다. 에천트 기체는 밸브(25)를 통하여 반응기 챔버(27)에 진입하고, 기판(32) 위로 통과하고, 스테인레스 강 기판(32) 상의 증착물을 에칭시켰다. 반응은 매우 신속하고 효율적이어서, 기판을 3-5분 내에 완전히 세정하였다. 주로 GaClBr2, GaBrCl2, GaBr3, GaCl3 및 SO2인 부산물을 챔버(27)의 냉각 구역(37)에서 회수하였다.
실시예 5 - 250℃에서 SOBr 2 과 5% Br 2
피복 기판(32)을 제1 기판 홀더(28)에 위치시켰다. 기판(32)을 연속 캐리어 기체(Ar) 유동하에 기체 유입구(20) 및 직접 투입 밸브(21)로부터 반응기 챔버(27)를 통하여 IR 히터(31)로 250℃로 가열하였다. 제2 기판 홀더(30)를 IR 히터(31)로 300℃로 가열하였다. 일단 적합한 온도에 이르면, 캐리어 기체의 유동을 버블러 밸브(22)를 통하여 시약(24)(SOBr2 및 5용적% Br2)을 함유하는 버블러(23)로 우회하여, SOBr2/Br2가 캐리어 기체 중에서 픽업되어, 에천트 기체가 생성되도록 하였다. 에천트 기체는 밸브(25)를 통하여 반응기 챔버(27)로 진입하고, 기판 홀더(30) 위로 통과하면서 열 활성화되고, 기판(32) 위로 통과하고, 스테인레스 강 기판(32) 위의 부착물을 에칭시켰다. 반응은 매우 신속하고 효율적이어서, 기판을 5-6분 내에 완전히 세정하였다. 주로 GaBr3 및 SO2인 부산물을 챔버(27)의 냉각 구역(37)에서 회수하였다.
이제 도 4를 참조하면, 본 발명의 제2 구현예를 수행하는 실험 장치는 투입 말단 플랜지(26) 및 산출 말단 플랜지(33)에 의하여 경계가 이루어지는 반응 챔버(27)의 유사한 배열을 포함한다. 각각 예를 들면, 적외선 히터 또는 어떠한 적합한 히터일 수 있는, 히터(31)에 의하여 가열되는, 제1 및 제2 기판 홀더(28) 및 (30)가 제공된다. 제1 배열에서와 같이, 기판 홀더(28)만이 시험 기판(32)을 지지하는 한편, 제2 기판 홀더(30)는 예열 구역을 생성하는 데 사용되며, 당해 구역에서 에천트 기류는 시험 기판에 도달하기 전에 가열된다. 리코더(36) 및 열전대 와이어(29)는 기판 온도를 필요한 만큼 모니터링한다. 냉각 구역(37)은 산출 말단 플랜지(33) 부근에서 유지된다. 진공 펌프(나타내지 않음)에 연결된 산출 밸브(34)는 반응기 챔버 내에 압력을 조절한다.
당해 구현예에서, 투입 기체는 반응 챔버(27)로 진입하기 전에 상이하게 처리된다. 이전과 같이, 캐리어 기체 공급물은 퍼지 사이클 동안 필요할 수 있는 만큼, 직접 투입 밸브(21)를 통하여 직접 반응 챔버로 통과할 수 있지만, 당해 구현예는 상이한 액체 시약 에칭 성분(40) 및 (44)을 함유하는, 각각 개별적인 버블러(39) 및 (43)에 접근하는, 2개의 버블러 밸브(38) 및 (42)를 함유한다. 버블러(39) 및 (43)로 진입하는 캐리어 기체는 따라서 2개의 미량의 상이한 에천트 증기를 픽업하고, 이를 밸브(41) 및 (45)에 의하여 조절되는, 기체 상에서 반응기로 수송할 수 있다. 일단 기판 홀더의 작동 온도에 이르면, 캐리어 기체의 유동은 제1 시약에 대해서는 폐쇄 밸브(21) 및 개방 밸브(38) 및 (41)에 의하여, 제2 시약에 대해서는 밸브(42) 및 (45)에 의하여 시약(40) 및 (44)을 통하여 유도되어, 2개의 상이한 에천트 기체를 생성한다. 2개의 에천트 기체는 반응기 챔버(27)로 진입 전 혼합되고, 혼합 에천트 기체의 유동은 시험 기판(32)상 반응기 증착물을 건식 에칭시킨다. 휘발성 비기체상 반응 생성물은 챔버(27)의 냉각 구역(37)으로 응축시킨다.
실시예 6 - SOBr 2 + Br 2 200℃
피복 기판(32)을 제1 기판 홀더(28)에 위치시켰다. 기판(32)을 연속 캐리어 기체(Ar) 유동하에 기체 유입구(20) 및 직접 투입 밸브(21)로부터 반응기 챔버(27)를 통하여 IR 히터(31)로 200℃로 가열하였다. 일단 적합한 온도에 이르면, 기체의 유동은 버블러 밸브(38)를 통하여 시약(40)(SOBr2)을 함유하는 버블러(39)로, 그리고 버블러 밸브(42)를 통하여 시약(44)(Br2)을 함유하는 버블러(43)로 우회하여, SOBr2 및 Br2이 캐리어 기류에 픽업되도록 하였다. SOBr2 및 Br2를 함유하는 기체는 각각 밸브(41) 및 (45)를 통하여 배출되고 합쳐져 반응기 챔버(27)에 진입하기 전에 에천트 기체를 형성한다. 에천트 기체는 기판(32) 위로 통과하고, 스테인레스 강 기판(32) 위의 증착물을 에칭시켰다. 에칭 공정이 기판으로부터 대부분의 피막을 제거하였지만, 에칭한 지 15분 후 회색빛 오렌지색 줄무늬의 지속적 막이 기판 상에 잔존하였다. 주로 GaBr3 및 SO2인 부산물을 챔버(27)의 냉각 구역(37)에서 회수하였다.
실시예 7 - SOBr 2 + MeBr 200℃
피복 기판(32)을 제1 기판 홀더(28)에 위치시켰다. 기판(32)을 연속 캐리어 기체(Ar) 유동하에 기체 유입구(20) 및 직접 투입 밸브(21)로부터 반응기 챔버(27)를 통하여 IR 히터(31)로 200℃로 가열하였다. 일단 적합한 온도에 이르면, 기체의 유동을 버블러 밸브(38)를 통하여 시약(40)(SOBr2)을 함유하는 버블러(39)로, 그리고 버블러 밸브(42)를 통하여 시약(44)(MeBr)을 함유하는 버블로(43)로 우회하여, SOBr2 및 MeBr(SOBr2와 비교하여 약 4-6%)이 캐리어 기류 중에서 픽업되도록 하였다. SOBr2 및 MeBr을 함유하는 기체는 각각 밸브(41) 및 (45)를 통하여 배출되고, 합쳐져서 반응기 챔버(27)에 진입하기 전에 에천트 기체를 형성한다. 에천트 기체는 기판(32) 위로 통과하고 스테인레스 강 기판(32) 상의 증착물을 약 6분만에 완전히 에칭시켰다. 주로 GaBr3, MeGaBr2, Me2GaBr 및 SO2인 부산물을 챔버(27)의 냉각 구역(37)에서 회수하였다.
이제 도 5를 참조하면, 본 발명의 제3 구현예를 수행하는 실험 장치는 투입 말단 플랜지(26) 및 산출 말단 플랜지(33)에 의하여 경계가 이루어지는 반응 챔버(27)의 유사한 배열을 포함한다. 적합한 히터(31)에 의하여 가열되고, 시험 기판(32)을 지지하는, 단지 하나의 기판 홀더(28)가 제공된다. 리코더(36) 및 열전대 와이어(29)는 기판 온도를 필요한 만큼 모니터링한다. 냉각 구역(37)은 산출 말단 플랜지(33) 부근에서 유지된다. 진공 펌프(나타내지 않음)에 연결된 산출 밸브(34)는 반응기 챔버(27) 내에 압력을 조절한다.
이 장치에서, 투입 기체는 반응 챔버(27)로 진입하기 전에 제1 구현예에서와 동일한 방식으로 처리된다. 기체 투입 라인(20)은 캐리어 기체를 시스템으로 공급한다. 캐리어 기체 공급물은 직접 투입 밸브(21)를 통하여 반응 챔버로 직접 통과하거나 버블러 밸브(22)를 통과할 수 있다. 버블러(23)로 진입하는 캐리어 기체는 액체 시약 에칭 성분(24)을 통하여 통과하고, 여기서 이는 에천트 증기를 픽업하고 이를 밸브(25)에 의하여 조절되는, 기체 상에서 반응기로 수송한다. 일단 기판 홀더의 작동 온도에 이르면, 캐리어 기체의 유동은 폐쇄 밸브(21) 및 개방 밸브(22) 및 (25)에 의하여 시약(24)을 통하여 유도되어, 에천트 기체를 생성한다. 그 다음, 에천트 기체는 활성화 챔버, 이 경우 UV 활성화 챔버(24)를 통과하고, 여기서 기류는 조사되어 에천트 기체를 활성화시킨다. 활성화 기체는 시험 기판(32)을 가로질러 유동하고 기판(32)상 반응기 증착물을 건식 에칭시킨다. 휘발성 비기체상 반응 생성물은 챔버(27)의 냉각 구역(37)으로 응축시킨다.
실시예 8 - SOCl 2 150℃ - UV 예비-여기
피복 기판(32)을 제1 기판 홀더(28)에 위치시켰다. 기판(32)을 연속 캐리어 기체(Ar) 유동하에 기체 유입구(20) 및 직접 투입 밸브(21)로부터 반응기 챔버(27)를 통하여 IR 히터(31)로 150℃로 가열하였다. 일단 기판이 150℃에 이르면, 기체의 유동을 버블러 밸브(22)를 통하여 시약(24)(SOCl2)을 함유하는 버블러(23)로 우회하여, SOCl2가 기체 중에서 픽업되도록 하였다. SOCl2는 밸브(25)를 통하여 버블러를 빠져나가고, 활성화 챔버(46)를 통과한 다음, 반응 챔버(27)에 진입하고, 여기서 이는 기판(32) 위로 통과하고, 스테인레스 강 기판(32) 위의 증착물을 에칭시켰다. 반응은 매우 신속하고 효율적이어서, 기판을 3-5분 내에 완전히 세정시켰다. 주로 GaCl3 및 SO2인 부산물을 챔버(27)의 냉각 구역(37)에서 회수하였다.
도 6은 본 발명의 제4 구현예를 수행하는 실험 장치를 나타낸다. 반응기 챔버(27) 및 이의 함유물은 모두 제1 구현예와 동일하지만, 이 경우 에천트 기체는 압축 기체의 하나 이상의 실린더(47) 및 (49)로부터 직접 공급되고, 밸브(48) 및 (50)에 의하여 조절된다. 기체 투입 라인(20)은 캐리어 기체, 예를 들면, 아르곤을 시스템으로 공급한다. 캐리어 기체 공급물은 퍼지 사이클 동안 필요할 수 있는 만큼, 직접 투입 밸브(21)를 통하여 반응 챔버로 직접 통과할 수 있다. 일단 기판 홀더의 작동 온도에 이르면, 캐리어 기체의 유동은 폐쇄 밸브(21)에 의하여 중단되고, 압축 기체 실린더(47) 및 (49)로부터의 에천트 기체 성분의 유동은 개방 밸브(48) 및 (50)에 의하여 개시된다. 기체들은 반응 챔버(27)로 진입 전에 합쳐지고, 수득한 합쳐진 에천트는 시험 기판(32)을 가로질러 유동하여 시험 기판(32)상 반응기 증착물을 건식 에칭시킨다. 휘발성 비-기체상 반응 생성물을 챔버(27)의 냉각 구역(37)으로 응축시킨다.
실시예 9 - 캐리어 기체 부재, CO + Br 2 200℃; 300℃로 예열
피복 기판(32)을 제1 기판 홀더(28)에 위치시켰다. 기판(32)을 연속 기체(Ar) 유동하에 기체 유입구(20) 및 직접 투입 밸브(21)로부터 반응기 챔버(27)를 통하여 IR 히터(31)로 200℃로 가열하였다. 제2 기판 홀더(30)를 IR 히터(31)로 300℃로 가열하였다. 일단 적합한 온도에 이르면, 캐리어 기체의 유동은 폐쇄 밸브(21)에 의하여 중단되는 한편, 밸브(48) 및 (50)는 순차적으로 개방되어, 에천트 기체(Br2 및 CO; CO와 비교하여 약 5% Br2)가 합쳐져 반응기 챔버(27)로 진입하도록 한다. 에천트 기체 배합물은 기판 홀더(30) 위의 가열 영역을 통과하면서 열 활성화되었다. 활성화 기체가 기판(32) 위로 통과할 때, 이는 기판(32)상 피막의 상당 부분을 에칭시키지만, 매우 희미한 막이 표면에 잔존하였다. 주로 GaBr3 및 CO2인 부산물을 챔버(27)의 냉각 구역(37)에서 회수하였다.
실온에서 액체인 티오닐 할라이드가 취급하기에 더 간단하므로, 이들 실험 대부분은 SOX2 시스템을 사용하여 수행하였다. 이는 또한 효과적인 것으로 나타났다. 카보닐(COX2) 및 니트로실(NOX)과 같은 기타 유사한 시스템의 화학(즉, 반응성)은 매우 유사하기 때문에, 이들 화학 물질 또한 본원에 개략된 출원에 대하여 작동하는 것으로 예상된다. 모든 경우, 금속 산화물의 에칭 공정은 에천트 기체로부터 SO2, CO2 및 NO2 기체를 생성시켜 구동하는데, 이들 기체는 출발 물질보다 안정하고 또한 매우 휘발성이기 때문이다. 공정 온도에서 역시 안정하지만 휘발성인, 금속 할라이드 GaCl3 및 GaBr3의 형성 또한 반응을 구동한다.
추가로, 물질 각각은 통상적으로 개별적인 기체의 반응에 의하여 제조될 수 있다. 예를 들면, NO 및 Cl2는 기체 상에서 반응하여 NOCl을 생성시킨다. 그러므로, 개별적인 기체가 열 활성화로 챔버로 통과시 활성 화학종이 생성되고, 이는 그 다음 표면 산화물과 반응하여, 기판 위의 피막을 에칭시킬 것으로 예상된다.
본원에 기재된 바람직한 구현예에 대한 기타 변화가 본 발명의 영역을 벗어나지 않고 실시될 수 있으며, 이러한 영역은 명세서 및 다음 특허청구범위를 참조로 하여 적절하게 한정된다는 것이 당업자에 의하여 인정될 것이다.

Claims (32)

  1. 화학 반응기 챔버의 내부 표면으로부터 또는 화학 반응기 챔버내 기판으로부터 반응 생성 증착물을 세정하는 방법으로서,
    상기 반응기 챔버를 100℃ 이상의 상승된 온도로 가열하는 단계;
    에천트 기체를 상기 반응기 챔버로 도입하는 단계;
    상기 에천트 기체를 상기 반응기 챔버로 도입 전 또는 도입 후에 활성화시키는 단계;
    상기 에천트 기체와 상기 반응 생성 증착물 사이에 에칭 반응이 일어나도록 하여, 상기 반응기 챔버 내에 에칭 반응 생성물의 실질적인 재증착 없이 상기 반응 생성 증착물을 제거하는 단계; 및
    상기 에천트 기체를, 상기 에칭 반응의 실질적으로 모든 생성물과 함께 배기시키는 단계를 포함하고,
    상기 반응기 챔버내 압력이 상기 에칭 반응 동안 20mbar 내지 1000mbar이고,
    상기 에천트 기체는 일반식 AOmXn으로 표시되고,
    상기 식에서, A는 C, N 및 S로 이루어진 그룹으로부터 선택되고;
    O는 산소이고;
    X는 할로겐이고;
    아래 첨자 m 및 n은 0 보다 큰, 방법.
  2. 제1항에 있어서, 상기 에천트 기체를 상기 반응기 챔버로 도입하는 단계 전에, 상기 에천트 기체를 생성하는 단계를 더 포함하는, 방법.
  3. 제1항에 있어서, 상기 에천트 기체를 상기 반응기 챔버로 도입하는 단계 전에, 캐리어 기체를 액상의 화학 성분을 통하여 버블링시켜, 상기 액상의 화학 성분을 상기 에천트로 휘발시키는 단계를 더 포함하는, 방법.
  4. 제2항에 있어서, 상기 에천트 기체의 생성은, 캐리어 기체를 복수의 액상의 화학 성분을 통하여 버블링시킨 다음, 생성되는 기체들을 수집함으로써 이루어지는, 방법.
  5. 제2항에 있어서, 상기 에천트 기체가 2개 이상의 화학 성분 기체를 혼합하여 생성되는, 방법.
  6. 제1항에 있어서, 상기 에천트 기체를 상기 반응기 챔버로 도입하기 전에, 기체 활성화 챔버에서 상기 에천트 기체를 활성화 메카니즘에 노출시켜 활성화시키고; 상기 기체 활성화 메카니즘이, 열, 자외선 및 플라즈마 방전으로 이루어진 그룹으로부터 선택되는 것인, 방법.
  7. 제1항에 있어서, 상기 에천트 기체를 상기 반응기 챔버로 도입한 후에, 열 활성화 메카니즘에 상기 에천트 기체를 노출시켜 활성화시키고; 상기 열 활성화 메카니즘이, 상기 반응기 챔버 내의 전체 온도, 및 상기 반응기 챔버 내의 국소적 열원으로 이루어진 그룹으로부터 선택되는 것인, 방법.
  8. 제1항에 있어서, 상기 에천트 기체가 일반식 RX의 첨가제를 추가로 함유하고,
    상기 식에서, R은 H 및 Me로 이루어진 그룹으로부터 선택되고;
    X는 F, Cl, Br 및 I로 이루어진 그룹으로부터 선택되는, 방법.
  9. 제1항에 있어서, 상기 에천트 기체가 할로겐 기체 첨가제를 더 함유하는, 방법.
  10. 화학 반응기 챔버의 내부 표면으로부터 또는 화학 반응기 챔버내 기판으로부터 반응 생성 증착물을 세정하는 방법으로서,
    상기 반응기 챔버를 100℃ 이상의 상승된 온도로 가열하는 단계;
    에천트 기체를 상기 반응기 챔버로 도입하는 단계;
    상기 에천트 기체를 상기 반응기 챔버로 도입 전 또는 도입 후에 활성화시키는 단계;
    상기 에천트 기체와 상기 반응 생성 증착물 사이에 에칭 반응이 일어나도록 하여, 상기 반응기 챔버 내에 에칭 반응 생성물의 실질적인 재증착 없이 상기 반응 생성 증착물을 제거하는 단계; 및
    상기 에천트 기체를, 상기 에칭 반응의 실질적으로 모든 생성물과 함께 배기시키는 단계를 포함하고,
    상기 반응기 챔버내 압력이 상기 에칭 반응 동안 20mbar 내지 1000mbar이고,
    상기 에천트 기체는 화학식 AOmXnYp로 표시되고,
    상기 식에서, A는 C, N 및 S로 이루어진 그룹으로부터 선택되고;
    O는 산소이고;
    X 및 Y는 상이한 할로겐이고;
    아래 첨자 m, n 및 p은 0 보다 큰, 방법.
  11. 제10항에 있어서, 상기 에천트 기체를 상기 반응기 챔버로 도입하는 단계 전에, 상기 에천트 기체를 생성하는 단계를 더 포함하는, 방법.
  12. 제10항에 있어서, 상기 에천트 기체를 상기 반응기 챔버로 도입하는 단계 전에, 캐리어 기체를 액상의 화학 성분을 통하여 버블링시켜, 상기 액상의 화학 성분을 상기 에천트로 휘발시키는 단계를 더 포함하는, 방법.
  13. 제11항에 있어서, 상기 에천트 기체의 생성은, 캐리어 기체를 복수의 액상의 화학 성분을 통하여 버블링시킨 다음, 생성되는 기체들을 수집함으로써 이루어지는, 방법.
  14. 제11항에 있어서, 상기 에천트 기체가 2개 이상의 화학 성분 기체를 혼합하여 생성되는, 방법.
  15. 제10항에 있어서, 상기 에천트 기체를 상기 반응기 챔버로 도입하기 전에, 기체 활성화 챔버에서 상기 에천트 기체를 활성화 메카니즘에 노출시켜 활성화시키고; 상기 기체 활성화 메카니즘이, 열, 자외선 및 플라즈마 방전으로 이루어진 그룹으로부터 선택되는 것인, 방법.
  16. 제10항에 있어서, 상기 에천트 기체를 상기 반응기 챔버로 도입한 후에, 열 활성화 메카니즘에 상기 에천트 기체를 노출시켜 활성화시키고; 상기 열 활성화 메카니즘이, 상기 반응기 챔버 내의 전체 온도, 및 상기 반응기 챔버 내의 국소적 열원으로 이루어진 그룹으로부터 선택되는 것인, 방법.
  17. 제10항에 있어서, 상기 에천트 기체가 일반식 RX의 첨가제를 추가로 함유하고,
    상기 식에서, R은 H 및 Me로 이루어진 그룹으로부터 선택되고;
    X는 F, Cl, Br 및 I로 이루어진 그룹으로부터 선택되는, 방법.
  18. 제10항에 있어서, 상기 에천트 기체가 할로겐 기체 첨가제를 더 함유하는, 방법.
  19. 화학 반응기 챔버의 내부 표면으로부터 또는 화학 반응기 챔버내 기판으로부터 반응 생성 증착물을 세정하는 방법으로서,
    상기 반응기 챔버를 100℃ 이상의 상승된 온도로 가열하는 단계;
    에천트 기체를 상기 반응기 챔버로 도입하는 단계;
    상기 에천트 기체를 상기 반응기 챔버로 도입 전 또는 도입 후에 활성화시키는 단계;
    상기 에천트 기체와 상기 반응 생성 증착물 사이에 에칭 반응이 일어나도록 하여, 상기 반응기 챔버 내에 에칭 반응 생성물의 실질적인 재증착 없이 상기 반응 생성 증착물을 제거하는 단계; 및
    상기 에천트 기체를, 상기 에칭 반응의 실질적으로 모든 생성물과 함께 배기시키는 단계를 포함하고,
    상기 반응기 챔버내 압력이 상기 에칭 반응 동안 20mbar 내지 1000mbar이고,
    상기 에천트 기체는 일반식 AmXn으로 표시되고,
    상기 식에서, A는 C, N 및 S로 이루어진 그룹으로부터 선택되고;
    X는 할로겐이고;
    아래 첨자 m 및 n은 0 보다 큰, 방법.
  20. 제19항에 있어서, 상기 에천트 기체를 상기 반응기 챔버로 도입하는 단계 전에, 상기 에천트 기체를 생성하는 단계를 더 포함하는, 방법.
  21. 제19항에 있어서, 상기 에천트 기체를 상기 반응기 챔버로 도입하는 단계 전에, 캐리어 기체를 액상의 화학 성분을 통하여 버블링시켜, 상기 액상의 화학 성분을 상기 에천트로 휘발시키는 단계를 더 포함하는, 방법.
  22. 제20항에 있어서, 상기 에천트 기체의 생성은, 캐리어 기체를 복수의 액상의 화학 성분을 통하여 버블링시킨 다음, 생성되는 기체들을 수집함으로써 이루어지는, 방법.
  23. 제20항에 있어서, 상기 에천트 기체가 2개 이상의 화학 성분 기체를 혼합하여 생성되는, 방법.
  24. 제19항에 있어서, 상기 에천트 기체를 상기 반응기 챔버로 도입하기 전에, 기체 활성화 챔버에서 상기 에천트 기체를 활성화 메카니즘에 노출시켜 활성화시키고; 상기 기체 활성화 메카니즘이, 열, 자외선 및 플라즈마 방전으로 이루어진 그룹으로부터 선택되는 것인, 방법.
  25. 제19항에 있어서, 상기 에천트 기체를 상기 반응기 챔버로 도입한 후에, 열 활성화 메카니즘에 상기 에천트 기체를 노출시켜 활성화시키고; 상기 열 활성화 메카니즘이, 상기 반응기 챔버 내의 전체 온도, 및 상기 반응기 챔버 내의 국소적 열원으로 이루어진 그룹으로부터 선택되는 것인, 방법.
  26. 제19항에 있어서, 상기 에천트 기체가 일반식 RX의 첨가제를 추가로 함유하고,
    상기 식에서, R은 H 및 Me로 이루어진 그룹으로부터 선택되고;
    X는 F, Cl, Br 및 I로 이루어진 그룹으로부터 선택되는, 방법.
  27. 제19항에 있어서, 상기 에천트 기체가 할로겐 기체 첨가제를 더 함유하는, 방법.
  28. 제1항, 제10항 및 제19항 중의 어느 한 항에 있어서, 상기 압력이 500mbar 내지 1000mbar인, 방법.
  29. 제1항, 제10항 및 제19항 중의 어느 한 항에 있어서, 상기 상승된 온도가 100℃ 내지 400℃인, 방법.
  30. 제1항, 제10항 및 제19항 중의 어느 한 항에 있어서, 상기 상승된 온도가 250℃ 내지 400℃인, 방법.
  31. 제1항, 제10항 및 제19항 중의 어느 한 항에 있어서, 상기 온도가 상기 에칭 반응 동안 실질적으로 일정한, 방법.
  32. 제1항, 제10항 및 제19항 중의 어느 한 항에 있어서, 상기 압력이 상기 에칭 반응 동안 실질적으로 일정한, 방법.
KR1020157008093A 2012-12-18 2012-12-18 박막 증착 반응기 및 박막 층의 반응계내 건식 세정 공정 및 방법 KR101792165B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CA2012/001164 WO2014094103A1 (en) 2012-12-18 2012-12-18 Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers

Publications (2)

Publication Number Publication Date
KR20150095611A KR20150095611A (ko) 2015-08-21
KR101792165B1 true KR101792165B1 (ko) 2017-10-31

Family

ID=50977442

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157008093A KR101792165B1 (ko) 2012-12-18 2012-12-18 박막 증착 반응기 및 박막 층의 반응계내 건식 세정 공정 및 방법

Country Status (6)

Country Link
US (1) US10240230B2 (ko)
EP (1) EP2934775B1 (ko)
JP (1) JP6390977B2 (ko)
KR (1) KR101792165B1 (ko)
CN (1) CN104853855B (ko)
WO (1) WO2014094103A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021054670A1 (ko) * 2019-09-20 2021-03-25 대전대학교 산학협력단 플라즈마에칭공정상의 l-fc 제거 방법 및 그 시스템

Families Citing this family (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
DE102014115708A1 (de) * 2014-10-29 2016-05-04 Aixtron Se Verfahren zum Trennen einer Kohlenstoffstruktur von einer Keimstruktur
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN107799467B (zh) * 2016-08-30 2021-01-29 上海新昇半导体科技有限公司 一种刻蚀方法、刻蚀装置及半导体晶圆分割方法
DE102017100725A1 (de) * 2016-09-09 2018-03-15 Aixtron Se CVD-Reaktor und Verfahren zum Reinigen eines CVD-Reaktors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
WO2018106955A1 (en) 2016-12-09 2018-06-14 Asm Ip Holding B.V. Thermal atomic layer etching processes
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
KR102414617B1 (ko) 2017-08-17 2022-07-01 삼성전자주식회사 기판 처리 장치 및 이의 세정 방법
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN112740378A (zh) * 2018-09-21 2021-04-30 朗姆研究公司 蚀刻金属氧化物和保护腔室部件
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
TW202113506A (zh) * 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US20220306657A1 (en) * 2019-08-29 2022-09-29 Seastar Chemicals Ulc Organometallic compounds for the deposition of high purity tin oxide and dry etching of the tin oxide films and deposition reactors
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20230274947A1 (en) * 2020-07-13 2023-08-31 American Air Liquide, Inc. Selective thermal etching methods of metal or metal-containing materials for semiconductor manufacturing
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5071272A (ko) 1973-10-26 1975-06-13
JPS62280336A (ja) 1986-05-28 1987-12-05 Tanaka Kikinzoku Kogyo Kk ルテニウム回収方法
JPH0218926A (ja) 1988-07-07 1990-01-23 Nippon Telegr & Teleph Corp <Ntt> 化合物半導体薄膜の製造方法
JPH03174725A (ja) 1989-12-04 1991-07-29 Toshiba Corp 金属の除去方法
JP3371143B2 (ja) 1991-06-03 2003-01-27 ソニー株式会社 ドライエッチング方法
US5445712A (en) 1992-03-25 1995-08-29 Sony Corporation Dry etching method
JPH05291201A (ja) 1992-04-08 1993-11-05 Sony Corp アルミニウム系パターンの形成方法
JP3186264B2 (ja) 1992-04-23 2001-07-11 ソニー株式会社 ドライエッチング方法
JP3111640B2 (ja) * 1992-06-05 2000-11-27 ソニー株式会社 ドライエッチング方法
JP3109253B2 (ja) 1992-06-29 2000-11-13 ソニー株式会社 ドライエッチング方法
JP3264035B2 (ja) * 1993-04-26 2002-03-11 ソニー株式会社 ドライエッチング方法
US5626786A (en) * 1995-04-17 1997-05-06 Huntington; John H. Labile bromine fire suppressants
US5750441A (en) * 1996-05-20 1998-05-12 Micron Technology, Inc. Mask having a tapered profile used during the formation of a semiconductor device
KR980005793A (ko) * 1996-06-12 1998-03-30 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US6165375A (en) * 1997-09-23 2000-12-26 Cypress Semiconductor Corporation Plasma etching method
TW448503B (en) * 1999-03-11 2001-08-01 Toshiba Corp Method for dry etching
US6770144B2 (en) * 2000-07-25 2004-08-03 International Business Machines Corporation Multideposition SACVD reactor
US6559462B1 (en) * 2000-10-31 2003-05-06 International Business Machines Corporation Method to reduce downtime while implanting GeF4
US20040014327A1 (en) 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011380A1 (en) 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US6890596B2 (en) 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
KR20070037434A (ko) * 2004-03-24 2007-04-04 매사추세츠 인스티튜트 오브 테크놀로지 표면 적층물을 제거하기 위한 원격 챔버 방법
US20070006893A1 (en) * 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
EP2145030A2 (en) * 2007-03-27 2010-01-20 L'air Liquide-societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for low temperature thermal cleaning
JP5213868B2 (ja) 2007-09-19 2013-06-19 株式会社日立国際電気 クリーニング方法及び基板処理装置
JP4994197B2 (ja) 2007-11-16 2012-08-08 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5638772B2 (ja) * 2009-05-25 2014-12-10 スタンレー電気株式会社 酸化亜鉛系半導体の成長方法及び半導体発光素子の製造方法
US20110073136A1 (en) * 2009-09-10 2011-03-31 Matheson Tri-Gas, Inc. Removal of gallium and gallium containing materials
US20110237051A1 (en) 2010-03-26 2011-09-29 Kenneth Lee Hess Process and apparatus for deposition of multicomponent semiconductor layers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021054670A1 (ko) * 2019-09-20 2021-03-25 대전대학교 산학협력단 플라즈마에칭공정상의 l-fc 제거 방법 및 그 시스템

Also Published As

Publication number Publication date
US10240230B2 (en) 2019-03-26
JP2016516287A (ja) 2016-06-02
CN104853855B (zh) 2020-07-24
JP6390977B2 (ja) 2018-09-19
WO2014094103A1 (en) 2014-06-26
KR20150095611A (ko) 2015-08-21
EP2934775A4 (en) 2017-05-17
CN104853855A (zh) 2015-08-19
EP2934775A1 (en) 2015-10-28
US20150218695A1 (en) 2015-08-06
EP2934775B1 (en) 2021-03-17

Similar Documents

Publication Publication Date Title
KR101792165B1 (ko) 박막 증착 반응기 및 박막 층의 반응계내 건식 세정 공정 및 방법
US9932670B2 (en) Method of decontamination of process chamber after in-situ chamber clean
JP4417362B2 (ja) Cvdチャンバのクリーニング方法
US6689220B1 (en) Plasma enhanced pulsed layer deposition
US10366898B2 (en) Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
KR20010034128A (ko) 화학 기상 증착 냉벽 챔버 및 배출 라인의 세정 방법
US20110237051A1 (en) Process and apparatus for deposition of multicomponent semiconductor layers
JP6463339B2 (ja) 後続の多段洗浄ステップを伴うmocvd層成長方法
CN102576667A (zh) 中空阴极喷头
US20140302254A1 (en) Plasma cleaning method
US20130061870A1 (en) Method of cleaning film forming apparatus
CN114630834A (zh) 用于沉积高纯度氧化锡的有机金属化合物和干法蚀刻所述氧化锡薄膜和沉积反应器
CN102414790A (zh) Hvpe腔室硬件
US20130087093A1 (en) Apparatus and method for hvpe processing using a plasma
EP3854492A1 (en) Apparatus for cleaning component of semiconductor production apparatus, method for cleaning component of semiconductor production apparatus, and system for cleaning component of semiconductor production apparatus
TW201234473A (en) Method for cleaning reaction cavity for growing films of compounds of group III elements and group V elements
WO2010129289A2 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
JP3456933B2 (ja) 半導体処理装置のクリーニング方法および半導体処理装置
RU2049830C1 (ru) Устройство для выращивания кристаллов из газовой фазы
JPH04302140A (ja) 気相結晶成長装置
JPH05243164A (ja) 気相成長装置および気相成長方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant