JP6390977B2 - 薄膜堆積反応器及び薄膜層をインサイチューで乾式浄化するプロセス及び方法 - Google Patents

薄膜堆積反応器及び薄膜層をインサイチューで乾式浄化するプロセス及び方法 Download PDF

Info

Publication number
JP6390977B2
JP6390977B2 JP2015546781A JP2015546781A JP6390977B2 JP 6390977 B2 JP6390977 B2 JP 6390977B2 JP 2015546781 A JP2015546781 A JP 2015546781A JP 2015546781 A JP2015546781 A JP 2015546781A JP 6390977 B2 JP6390977 B2 JP 6390977B2
Authority
JP
Japan
Prior art keywords
gas
etchant gas
reactor vessel
reactor
etchant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015546781A
Other languages
English (en)
Other versions
JP2016516287A (ja
Inventor
オデドラ,ラエシュ
Original Assignee
シースター ケミカルズ インク.
シースター ケミカルズ インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シースター ケミカルズ インク., シースター ケミカルズ インク. filed Critical シースター ケミカルズ インク.
Publication of JP2016516287A publication Critical patent/JP2016516287A/ja
Application granted granted Critical
Publication of JP6390977B2 publication Critical patent/JP6390977B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、薄膜堆積反応器の内表面を乾式エッチング又は浄化するための方法、組成物及び装置に関する。
金属有機気相エピタキシー(MOVPE)は、有機金属気相エピタキシー(OMVPE)又は金属有機化学的気相成長法(MOCVD)としても知られ、整った化学的気相成長法である。MOVPEにおいて、超純粋ガスは反応器に注入され、精細に投与されて、半導体ウエハー上に原子の非常に薄い層を堆積する。必要とする化学元素を含む有機化合物又は金属有機物及び水素化物の表面反応は、材料及び化合物半導体の結晶成長エピタキシーのための状態を作る。伝統的なシリコン半導体と異なり、これらの半導体は、III族及びV族、II族及びVI族、IV族、又はIV、V及びVI族元素の組み合わせを含んでいてもよい。
気相エピタキシー(VPE)技法において、反応体ガスを反応器中で高温で合わせて、化学的相互作用を引き起こし、基板上に材料の堆積をもたらす。原子層堆積(ALD)システムにおいては、反応体ガスは連続して導入されて所望の材料のコンフォーマルな薄膜の自己制御式成長が得られる。どちらの事例においても、反応器は、使用されている化学物質と反応しない材料で製造された槽である。それは高温にも耐えなければならない。この槽は、反応器壁、ライナー、支持台、ガス圧入法ユニット及び温度調整ユニットを含む。通常、反応器壁はステンレス鋼又は石英から製造されている。多くの場合、セラミック又は石英などの特殊ガラスが、反応器槽のライナーとして反応器壁と支持台の間に使用される。過熱を阻止するために、水などの冷媒を、反応器壁内の流路に流すことができる。基板は、制御された温度で保持された支持台に静置される。支持台は、使用される金属有機化合物に耐性のある材料から製造され、グラファイトが時には使用される。窒化物及び関連材料の成長のためには、グラファイト支持台上の特殊な被膜がアンモニア(NH)ガスによる腐食を阻止するのに必要である。
MOCVDを実行するために使用される1つの種類の反応器は冷水壁反応器である。冷水壁反応器において、基板は、支持台としても働く架台によって支持される。架台/支持台は、反応槽中で熱エネルギーの第一の発生源である。支持台のみが加熱されるので、高温のウエハー面に届くまでガスは反応しない。架台/支持台は、炭素などの照射を吸収する材料で製造される。対照的に、冷水壁反応器の反応槽の壁は、通常、電磁波に概して透明な石英で製造される。冷水壁反応器の反応槽壁は、高温の架台/支持台から放射する熱によって間接的に加熱されてもよいが、架台/支持台より、また架台/支持台上に支持された基板より低温である。
高温壁CVDなどの幾つかの状況においては、槽全体が加熱される。このことが特定のガスについては必要な場合があり、基板表面に達して基板に付着する前にガスを予め分解しておかねばならない。
ガスはバブラーとして公知の仕組みによって反応器槽に導入される。バブラーにおいて、搬送ガス(通常、窒素又は水素)を金属有機液体中に泡立たせ、ガスに金属有機蒸気を拾い上げ、反応器に気相でそれを輸送する。輸送される金属有機蒸気の量は、搬送ガス流の速度、バブラー温度及び金属有機前駆体の蒸気圧に依存する。
薄膜の堆積が実行されるとき、所望の表面にのみでなく、また支持台、壁及び天井を含むMOCVD又はALD反応器のあらゆる内表面にも膜は堆積する。反応器が浄化されずに頻繁に使用されるほど、堆積は厚くなる。堆積は最終的には層剥がれし始め、基板ウエハー上に落下し得る粒子を発生させ、それを汚染しウエハーの低い収率又は全損のいずれかをもたらす。反応器槽を流れる反応体ガスも堆積によって汚染され得る。これを避けるためには、反応器は定期的に浄化されなければならない。反応器に使用される構成及び材料に応じて、効果的な浄化は、反応器全部の剥ぎ取り及び湿式浄化を必要とし得るが、これは時間浪費であり反応器の効率を低下させる。さらに、反応器は、通常、316L及び304ステンレス鋼、炭化ケイ素、グラファイト、タングステン、アルミニウム、熱分解窒化ホウ素及び/又はエチレンプロピレンジエン(EPDN)ポリマーなどの広範囲の材料で構成されている。相異なる種類のエッチャント又は他の清浄剤を使用せずに、これらの種類の表面のすべてから堆積を浄化することは困難である場合がある。したがって、反応器内部のより単純で、より効果的な浄化手段が望まれる。
パージガスと一緒に、乾燥HClガス、HFガス又は他の反応性ガスを、乾式エッチングによって特定の堆積を除去するために使用することができる。反応性ガスと接触すると、金属部材は揮発性ハロゲン化物に転換され、パージガスを用いて除去される。これらの高反応性エッチャントの使用は困難であるが、その理由は容器内の部材に対して腐食性であり、高温を必要とするからである。
Miyaらへの(特許文献1)は、ハフニウム、ジルコニウム又は酸化アルミニウムなどの高誘電率膜を反応器槽から除去するために加熱エッチングする技法を開示している。BClなどのハロゲン化物系エッチャントガスは、槽に供給され、そこで、ハロゲン化物成分が放出され、ホウ素を解放して堆積した酸化物膜から優先的に酸素と結合し、堆積した膜内の化学結合を壊す。次いで、反応生成物はすべて反応槽からパージすることができる。保護性のBCl膜が膜堆積を覆って形成している場合、酸素系成分をエッチャントガスに加えてもよく、これはエッチング反応を加速する。エッチング反応が実行される温度及び圧力を変化させても、エッチング速度に影響を及ぼすことができる。エッチングする手順は、堆積した膜を申し分なく除去するために数サイクル行うことができる。
有機系材料を使用して、MOCVD反応器を浄化することも公知である。Hessらへの(特許文献2)は、プロセス槽中の支持台に配置された基板上に複数成分の半導体層、特にIII−V材料の堆積の方法を説明している。プロセス槽内の1種又は複数のプロセスガスの熱分解は、基板の堆積層及びプロセス槽の表面への望ましくない接着物を生み出す。堆積の後又はその前に、接着物は、遊離ラジカル、好ましくはアルキルラジカル又は他の炭化水素化合物を含有する反応性物質を含むパージガスのプロセス槽への導入により除去される。
Sarigiannisらへの(特許文献3)は、反応器槽の内部壁上の堆積の極小化しつつ基板上に層を堆積させるための堆積方法を開示している。プロセスガスは、基板が加熱した支持台によって担持されているプロセス槽へ導入される。プロセスガスは、加熱したプロセス槽の内部で熱分解する。層が基板上に形成され、若干の物質はプロセス槽表面に接着する。反応性パージガスは堆積槽に供給され、ただし基板からは離れて、槽壁の表面を覆って反応性ガスカーテンを有効に形成する。接着物質は、パージガスと反応して揮発性生成物を形成し、次いで、これはプロセス槽から除去される。
代替として、反応容器の部材を湿式エッチングによって浄化してもよいが、これには容器の分解と、次に、適切な試薬中での部材の浄化が必要である。湿式エッチングは、乾燥反応性ガスエッチングと比較して時間及び労働の浪費であるので不利である。
乾式エッチングプロセスは、好ましい層材料の堆積を極大化し、及び/又は堆積の位置をよりよく制御するために使用されている。例えば、Kadomuraへの(特許文献4)は、SOF、SOCl及びSOBrを含む含硫化合物を含むイオン化したエッチャントガスを使用する、TiON、Si又はTiNなどの窒素系化合物膜によってマスクしたSi又はAl系基板材料用の乾式エッチング法を開示している。イオウ化合物の存在は、マスキング層上に保護層を形成する遊離の硫黄を発生させ、エッチングの異方性を改善する。プロセスの効果は、ハロゲン及び/又は窒素系の化合物をエッチャントガスに加えることにより改善することができる。
Yanagidaへの(特許文献5)は、フルオロカーボン化合物及びオキシハロゲン化合物(カルボニル、チオニル、スルフリル、ニトロシル又はハロゲン化ニトリルなど)を含むイオン化したエッチャントガスを使用する、SiO系の材料用の乾式エッチングする方法を開示している。オキシハロゲンは、SiOから酸素を抜き取る効果があり、それによってイオン化したフルオロカーボンによるシリコンのエッチングを高める。
またYanagidaへの(特許文献6)は、チオニル又はスルフリルなどの官能基を有するハロゲン化合物及びハロゲン原子を有するエッチャントガスを使用する、Al系金属化層用の乾式エッチングプロセスを記載している。エッチャントガスはまた硫黄系化合物を含んでもよい。
Shojiへの(特許文献7)は、混合物を破砕し、COCl、CCl又はSOClなどの炭素及び塩化物ガスの存在下でそれを加熱することによって、TiO、Co、Al、SiOなどの金属酸化物の基材からルテニウムを回収する方法を開示している。基材の金属酸化物はガス状の塩化物を形成し、蒸発によって除去されるが、Ruの塩化物は高温で解離し、比重選別法によって残渣からのRu金属の回収が可能になる。
これらの参考文献は、一般にエッチングを達成するための複数のエッチャント混合物及びプラズマの組み合わせを詳述している。また、これらの参考文献中の詳細は、極めて特殊であり、特殊な基板及び堆積材料を対象とする。
米国特許出願公開第2009130860号明細書 国際公開第2011/117064 A1号明細書 米国特許出願公開第2004/0033310号明細書 米国特許第5326431号明細書 米国特許第5445712号明細書 米国特許第5378653号明細書 日本特許第62280336号明細書
したがって、本発明は、前述の困難を克服又は極小化する、反応器槽を浄化する方法を提供することを目的とする。
さらに本発明の目的は、プロセス槽を浄化する低温で有効な方法を提供することである。
また本発明のさらなる目的は、堆積プロセスの前に基板を浄化する方法を提供することである。
さらなる本発明の目的は、基板上のマスク層をエッチングする方法を提供することである。
本発明のこれら及び他の目的は、以下の本発明の要旨、及び好ましい実施形態の詳細な記載への参照によって理解されるであろう。
本発明は、反応器の実質的な中断時間又は分解の必要がなく、簡単で有効に堆積を除去するために望ましくない堆積を内部反応槽から乾式エッチングする方法及び材料を提供する。特に、本発明は、経時的に蓄積する堆積を除去するためにMOCVD及びALD反応器を乾式エッチング(又は乾式浄化)するための塩化チオニル(SOCl)の使用及び関連材料に関する。これは、特に、ヒ素、窒素及びリンの1つ又は複数と組み合わせたインジウム、ガリウム及びアルミニウム(In、Ga、Al)の1つ又は複数を含む元素を含有するIII−V半導体系の高輝度LED及び他の装置の製作において使用されるMOCVD反応器に適合させることができる。
本発明の特色は、所望の効果を達成するために、加熱乾式浄化、UV活性化乾式浄化、及び塩化チオニル又は関連する非金属ハロゲン化物(SOCl、SOBr、COCl、NOCl、NOBr、SOCl、SOBrのニート材料、又はCO及びCl、NO及びBrなどのガスの組み合わせ)の使用を含む。Cl又はBrなどの活性ハロゲンは、エッチング/浄化の有効性及び/又は効率を改善するガス混合物に加えることができる。
本発明の利点は、インサイチューで浄化化合物を発生させる、純粋な化合物又はガス混合物の単純な使用である。この新しいプロセスは、効率的な乾式エッチングを達成するために熱又は光による活性化に依存する。
一態様において、本発明は、化学反応器槽の内表面からの、又は化学反応器槽内の基板からの反応生成物の堆積を浄化する方法であって、反応器槽を少なくとも100℃の高温に加熱する工程;エッチャントガスを反応器槽に導入しエッチャントガスを活性化する工程;エッチャントガスと反応生成物堆積の間でエッチング反応を進めて、前記反応器槽内のエッチング反応生成物の実質的な再堆積なしに反応生成物の堆積を除去する工程;及び、反応器槽内の圧力を20mBarから1000mBarの間にしつつエッチング反応のガス状生成物の実質的にすべてと一緒にエッチャントガスを排気する工程を含む方法を含む。
エッチャントガスは、一般式AO[式中、Aは、C、N及びSからなる群から選択され;Oは酸素であり;Xはハロゲンであり;下付き添字m及びnは0を超える。]であってもよい。代替として、エッチャントガスは、一般式AO[式中、Aは、C、N及びSからなる群から選択され;Oは酸素であり;X及びYは相異なるハロゲンであり;下付き添字m、n及びpは0を超える。]であってもよい。別の代替として、エッチャントガスは、一般式A[式中、Aは、C、N及びSからなる群から選択され;Xはハロゲンであり;下付き添字m及びnは0を超える。]であってもよい。
別の態様において、本発明は、エッチャントガスを、槽への導入前に発生させるさらなる工程を含む。この発生は、複数の液体化学的成分に通して搬送ガスを泡立たせ、次いで、結果として得られたガスを合わせ及び/又は2種以上の化学的成分ガスを混合する形態をとってもよい。代替として又はその上に、搬送ガスは、エッチャントガスの槽への導入前に、液体化学的成分に通して泡立たせて液体化学的成分をエッチャントに揮発させてもよい。
またさらなる態様において、本発明は、反応器槽への導入前に、ガス活性化槽中の活性化機構にエッチャントガスを曝露することによって、それを活性化する工程を含んでもよい。ガス活性化機構は、加熱、紫外線及びプラズマ放電のいずれか1つ又は複数であってもよい。代替としてエッチャントガスは、反応器槽への導入後、熱活性化機構にそれを曝露することによって活性化されてもよく、熱活性化機構は、反応器槽内の全体加熱(overall temperature)及び反応器槽内の局所熱源からなる群から選択される。
またさらなる態様において、エッチャントガスはまた添加剤を含んでいてもよい。添加剤はハロゲンガスであってもよく、又は、一般式RX[式中、Rは、H及びMeからなる群から選択され;XはF、Cl、Br及びIからなる群から選択されるハロゲンである。]であってもよい。
前述のものは広範な要旨のみとして意図され、本発明の態様の一部のみのものである。本発明の限界又は要件を規定することは意図されない。本発明の他の態様は、好ましい実施形態の詳細な記載への、及び特許請求の範囲への参照によって理解されるであろう。
本発明の好ましい実施形態は、以下の図面を参照することによって記載される。
典型的なMOCVD反応器の概略断面図である。 熱、UV光又はプラズマ放電への曝露によって槽中を流れるエッチャントガスを活性化するために使用されるガス活性化槽である。 MOVPE反応器中の堆積に典型的な材料で被覆された基板上の、熱により活性化される乾式エッチングを実証するために使用される実験装置である。 MOVPE反応器中の堆積に典型的な材料で被覆された基板上の、複数のエッチャント成分を用いて熱により活性化される乾式エッチングを実証するために使用される実験装置である。 MOVPE反応器中の堆積に典型的な材料で被覆された基板上の、UV光で活性化される乾式エッチングを実証するために使用される実験装置である。 本発明による試薬ガスの混合物を使用する、熱により活性化される乾式エッチングを実証するために使用される実験装置である。
図1を参照すると、典型的な反応器は、支持台プレート2が1つ又は複数の基板4を支持することができる反応器槽1を備える。1つ又は複数の加熱素子3は、効果的な薄膜堆積のための適正範囲において必要なときに、加熱素子を保持する支持台2の温度を制御するために使用されてもよい。反応性プロセスガスは1つ又は複数の供給管路9を通って槽1に入り、シャワーヘッド5などの分散手段を介して槽1を通って分配され、槽1の全体にわたってガス供給を均一に広げる複数のオリフィス7を有する下側プレート6を備える。堆積サイクルが済んだ後、槽は、供給管路8を通してパージガスを供給することによってパージされてもよい。槽は、1つ又は複数のオリフィス11を備えるガス出口リング10を通って、システムに応じて真空ポンプ及びガスの処分手段又はリサイクル手段に連結されてもよいガス排気管路12へガスを除去することにより排気される。
使用する場合、乾式エッチングプロセスは、通常100℃から400℃の間の範囲の高温に反応器槽1全体を加熱する工程、及びエッチャントガスを供給し、1つ又は複数のプロセスガス供給管路9を介して反応器槽1へ導入される工程からなる。ガスは、シャワーヘッド5などのガス配分機構を通して、又は供給ガス管路8を介して導入されてもよい。エッチャントガスは反応器槽1中を流れ、その後、何らかの生成された反応生成物と一緒にガス排気リング10を通って排気され、ガス排気管路12を通ってポンプ輸送される。反応器槽1中のガス圧力は、乾式エッチングサイクル中、通常、20mBarから1000mBar(大気圧)の間に維持される。
エッチャントガスは、好ましくは、遊離ラジカルの発生を増強しまたそれによってエッチングプロセスを増強するために活性化される。これは、図2に示す熱による活性化、紫外線(UV)励起又はプラズマ放電によって達成することができる。エッチャントガス14は入口13を通って活性化槽に進入する。活性化槽内では、大部分のガス15が、ヒーター(熱による活性化)、UVランプ(UV活性化)又はイオン化RF電界(プラズマ活性化)などの活性化エネルギー源16に曝露される。熱による活性化はMOVPE反応容器の加熱によって達成されてもよく、又は、エッチャントガスは、反応容器への注入前に加熱槽中で予備加熱されてもよい。UV又はプラズマ放電による活性化の場合には、エッチャントガスは、容器への注入前に、UV光又は高周波プラズマ放電への曝露によって活性化槽で活性化される。活性ガスは出口17を通って活性化槽を出て、MOCVD反応器槽1(図示せず)へ進む。
エッチャントガスは、ハロゲン:塩素、臭素又はヨウ素(Cl、Br又はI)と組み合わせたカルボニル、チオニル又はニトロシル基(CO、SO又はNO)を含む。COCl、COBr、COI、SOI、SOCl、SOBr、SOCl、SOBr、NOCl、NOBr、NOI、SCl、SBr、SCl、SBr、SOClBr、SOClF及びSOFBrは、適切なエッチャントガスの例である。エッチャントガスは、ニート材料に由来してもよく、又は代替として、Cl、Br又はIと混合したCO、SO、SO又はNOなどの別々の成分の組み合わせによって発生させてもよい。エッチャントガスは、アルゴン、窒素又は水素などの搬送ガスと混合されてもよい。エッチャントガス又はその成分は、気体状態で利用可能なエッチャント成分の場合には、1つ又は複数のガスシリンダーから直接供給されてもよい。通常、液体状態であるエッチャントガス成分の場合には、必要とする気体状態は、好ましくは液体エッチャント成分を含む容器中で搬送ガスを泡立たせ、液体成分をエッチャントへ揮発させ、それによって搬送ガス及びエッチャント蒸気の混合物を生成することによって達成される。代替として、液体化学的成分は、蒸発するまで加熱し、必要なら、その時点で蒸気を搬送ガスと組み合わせ、反応器槽1へ導入してもよい。エッチャントガスは、エッチングを増強する追加の量のハロゲンを含んでいてもよい。エッチャントガスは、エッチングを増強する追加の量のハロゲン化メチル、ハロゲン化水素又は他のハロゲン化合物を含んでいてもよい。
反応槽内では、エッチャントガスは、金属含有堆積と反応して揮発性金属ハロゲン化物を形成し、これはエッチャントガスのパージで除去される。典型的な反応は、金属酸化物のパージガスとの反応を伴い、カルボニル/チオニル/ニトロシル基と結合している残りの酸素と金属ハロゲン化物を形成する。例えば:
Ga + 3 SOBr → 2 GaBr + 3 SO
2Ga + 6 SOBrCl → GaClBr + GaBrCl + GaCl + GaBr + 6SO
Ga + 3 NOBr → GaBr + 3 NO
In + 3 COCl → 2 InCl + 3 CO
他の金属含有堆積も反応して金属ハロゲン化物を形成する。酸化物は、酸素に対する金属の強い親和力により除去するには最も困難な堆積の例である。
金属ハロゲン化物が形成されたら、反応槽から除去されなければならない。そうする1つの方法は、反応器槽を減圧にして槽から除去するためにハロゲン化物を移動させることである。単独で又は低下させた槽圧力と組み合わせて使用されてもよい別の選択肢は、ハロゲン化物を蒸発又は昇華させるのに十分な温度に槽を加熱することである。表1は幾つかの典型的な反応生成物及びその沸点を列挙する。
Figure 0006390977
実験結果 一般に図3を参照すると、本発明の乾式エッチングプロセスの第1の実施形態は、以下のように配置された実験的反応槽27において実行される。反応槽27は、入口端部フランジ26及び出口端部フランジ33を有する石英管であり、テスト基板32を支持することができる第1の基板ホルダー28を備える。第2の基板ホルダー30も提供されるが、この実験目的としては基板を保持しない。各基板は、例えば、赤外線ヒーターであってもよい適切なヒーター31によって加熱される。予備加熱ゾーンが基板ホルダー30のまわりに作られ、そこで、基板ホルダー28上のテスト基板32に達する前にエッチャントガス流は予備加熱される。基板ホルダー28の温度は、必要なら、反応器槽の端部35を通り、記録計36で読み出しできる熱電対ワイヤー29によってモニターされてもよい。反応器槽内の温度は、好ましくは、冷却した区分37が出口端部フランジ33近くに維持されるように制御される。真空ポンプ(図示せず)に連結された出口バルブ34は、反応器槽内の圧力を調節する。
ガス入口管路20は、アルゴン、窒素又は水素などの搬送ガスをシステムへ供給する。搬送ガス供給は、パージサイクル中に必要とされ得るように、直結入口バルブ21に通して反応槽へ直接通してもよいが、バブラーバルブ22に通してもよい。バブラー23に入る搬送ガスは、液体試薬エッチング成分24中を通り、そこでエッチャント蒸気を拾い上げ、バルブ25によって制御され、反応器に気相でそれを輸送する。基板ホルダーの動作温度に達したら、搬送ガスの流れは、バルブ21を閉じバルブ22及び25を開くことにより、試薬24の中に導かれる。結果として生じたエッチャントガスは、テスト基板32を横切って流れ、基板32上の反応器堆積を乾式エッチングする。揮発性の非ガス状反応生成物は、槽27の冷却した区分37上に凝縮する。
これらの実験のために、テスト基板32は、MOVPE反応器構造材料に典型的な材料であるステンレス鋼を含む。基板はそれぞれ、III−V製作プロセス、すなわちMOCVDを使用する高温(>700℃)でトリメチルガリウムGa(CH及びアンモニアNHを使用するGaNの堆積中に形成された被膜から結果として生じた典型的なMOPVE反応器の堆積を有していた。
SOCl 200℃
被覆された基板32を第1の基板ホルダー28に置いた。ガス入口20及び直結入口バルブ21から反応器槽27を通る連続搬送ガス(Ar)流の下で、IRヒーター31を用いて基板32を200℃に加熱した。基板が200℃の温度に達したら、SOClがエッチャントガスを形成する搬送ガスに拾い上げられるように、搬送ガスの流れを、バブラーバルブ22を通して試薬24(SOCl)を含むバブラー23へ転換した。エッチャントガスはバルブ25を通って反応器槽27に入り、基板32を通り、ステンレス鋼基板32上の堆積をエッチングした。反応は最初の5分にわたって非常に高速で、次いで、よりゆっくり進み、その結果、エッチングプロセスは10−13分を要して、基板を輝きのあるステンレス状態に浄化した。副生物は、主としてGaCl及びSOであるが、槽27の冷却した領域37で収集した。
SOBr 200℃
被覆された基板32を第1の基板ホルダー28に置いた。ガス入口20及び直結入口バルブ21から反応器槽27を通る連続搬送ガス(Ar)流の下で、IRヒーター31を用いて基板32を200℃に加熱した。基板が200℃に達したら、SOBrがエッチャントガスを生成する搬送ガスに拾い上げられるように、搬送ガスの流れを、バブラーバルブ22を通して試薬24(SOBr)を含むバブラー23へ転換した。エッチャントガスはバルブ25を通して反応器槽27に入り、基板32を通り、ステンレス鋼基板32上の堆積をエッチングした。この反応は、非常に迅速で効率的であり、7−9分以内に完全に基板を浄化した。副生物は、主としてGaBr及びSOであるが、槽27の冷却した領域37で収集した。
SOBr 200 予備加熱300℃
被覆した基材32を第1の基板ホルダー28に置いた。ガス入口20及び直結入口バルブ21から反応器槽27を通る連続搬送ガス(Ar)流の下で、IRヒーター31を用いて基板32を200℃に加熱した。第2の基板ホルダー30を、IRヒーター31を用いて300℃に加熱した。好適な温度に達したら、SOBrが搬送ガスに拾い上げられるように、搬送ガスの流れを、バブラーバルブ22を通して試薬24(SOBr)を含むバブラー23へ転換し、エッチャントガスが生成した。エッチャントガスは、バルブ25を通して反応器槽27に入り、基板ホルダー30を通るときに熱により活性化され、基板32を通り、ステンレス鋼基板32上の堆積をエッチングした。この反応は、非常に迅速で効率的であり、3−5分以内に完全に基板を浄化した。副生物は、主としてGaBr及びSOであるが、槽27の冷却した領域37で収集した。
SOClBr 200℃
被覆された基板32を第1の基板ホルダー28に置いた。ガス入口20及び直結入口バルブ21から反応器槽27を通る連続搬送ガス(Ar)流の下で、IRヒーター31を用いて基板32を200℃に加熱した。基板が200℃に達したら、SOClBrがエッチャントガスを生成する搬送ガスに拾い上げられるように、搬送ガスの流れを、バブラーバルブ22を通して試薬24(SSOClBr)を含むバブラー23へ転換した。エッチャントガスはバルブ25を通して反応器槽27に入り、基板32を通り、ステンレス鋼基板32上の堆積をエッチングした。この反応は、迅速で効率的であり、3−5分以内に完全に基板を浄化した。副生物は、主としてGaClBr、GaBrCl、GaBr、GaCl及びSOであるが、槽27の冷却した領域37で収集した。
250℃で5%Brを含むSOBr
被覆された基板32を第1の基板ホルダー28に置いた。ガス入口20及び直結入口バルブ21から反応器槽27を通る連続搬送ガス(Ar)流の下で、IRヒーター31を用いて基板32を250℃に加熱した。第2の基板ホルダー30を、IRヒーター31を用いて300℃に加熱した。好適な温度に達したら、SOBr/Brが搬送ガスに拾い上げられるように、搬送ガスの流れを、バブラーバルブ22を通して試薬24(SOBr及び5体積%のBr))を含むバブラー23へ転換し、エッチャントガスが生成した。エッチャントガスは、バルブ25を通して反応器槽27に入り、基板ホルダー30を通るときに熱により活性化され、基板32を通り、ステンレス鋼基板32上の堆積をエッチングした。この反応は、非常に迅速で効率的であり、5−6分以内に完全に基板を浄化した。副生物は、主としてGaBr及びSOであるが、槽27の冷却した領域37で収集した。
ここで図4を参照すると、本発明の第2の実施形態を実行するための実験装置は、入口端部フランジ26及び出口端部フランジ33による境界の反応槽27の同様の配置を含む。第1及び第2の基板ホルダー28、30を設け、例えば赤外線ヒーター又は任意の適切なヒーターであってもよいヒーター31によってそれぞれを加熱する。第1の配置でのように、基板ホルダー28のみがテスト基板32を支持するが、第2の基板ホルダー30は、テスト基板に達する前にエッチャントガス流が加熱される予熱ゾーンを作るために使用する。記録計36及び熱電対ワイヤー29は必要に応じて基板温度をモニターする。冷却した区分37は、出口端部フランジ33近くに維持する。真空ポンプ(図示せず)に連結した出口バルブ34は、反応器槽内の圧力を調節する。
この実施形態において、反応槽27に入る前に、入口ガスは異なる仕方で処理する。前述の通り、搬送ガス供給は、パージサイクル中に必要とされ得るように、直結入口バルブ21に通して反応槽へ直接通してもよいが、しかし、この実施形態は、相異なる液体試薬エッチング成分40、44を含む別々のバブラー39、43をそれぞれ利用するための2つのバブラーバルブ、38、42を備える。バブラー39、43に入る搬送ガスは、それにより2つの相異なる微量のエッチャント蒸気を拾い上げ、バルブ41、45によって制御され、反応器に気相でそれを輸送することができる。基板ホルダーの動作温度に達したら、搬送ガスの流れは、バルブ21を閉じ、第1の試薬についてバルブ38及び41を、第2の試薬についてはバルブ42及び45を開くことにより、試薬40、44の中に導かれ、2種の相異なるエッチャントガスを作る。2種のエッチャントガスは、反応器槽27に入る前に混合し、混合エッチャントガスの流れはテスト基板32上の反応器堆積を乾式エッチングする。揮発性の非ガス状反応生成物は、槽27の冷却した区分37上に凝縮する。
SOBr+Br 200℃
被覆された基板32を第1の基板ホルダー28に置いた。ガス入口20及び直結入口バルブ21から反応器槽27を通る連続搬送ガス(Ar)流の下で、IRヒーター31を用いて基板32を200℃に加熱した。好適な温度に達したら、SOBr及びBrが搬送ガス流に拾い上げられるように、ガスの流れを、バブラーバルブ38を通して試薬40(SOBr)を含むバブラー39へ、及びバブラーバルブ42を通して試薬44(Br)を含むバブラー43へ転換した。SOBr及びBrを含有するガスは、それぞれバルブ41及び45を通って出、反応器槽27に入る前に合わせてエッチャントガスを形成した。エッチャントガスは基板32を通り、ステンレス鋼基板32上の堆積をエッチングした。エッチングプロセスはほとんどの被膜を基板から除去したが、灰色がかったオレンジ色の条痕の執拗な膜が、15分間のエッチング後も基板に残存した。副生物は、主としてGaBr及びSOであるが、槽27の冷却した領域37で収集した。
SOBr+MeBr 200℃
被覆された基板32を第1の基板ホルダー28に置いた。ガス入口20及び直結入口バルブ21から反応器槽27を通る連続搬送ガス(Ar)流の下で、IRヒーター31を用いて基板32を200℃に加熱した。好適な温度に達したら、SOBr及びMeBr(SOBrと比較して約4−6%)が搬送ガス流に拾い上げられるように、ガスの流れを、バブラーバルブ38を通して試薬40(SOBr)を含むバブラー39へ、及びバブラーバルブ42を通して試薬44(MeBr)を含むバブラー43へ転換した。SOBr及びMeBrを含有するガスは、それぞれバルブ41及び45を通って出、反応器槽27に入る前に合わせてエッチャントガスを形成した。エッチャントガスは基板32を通り、ステンレス鋼基板32上の堆積をおよそ6分で完全にエッチングした。副生物は、主としてGaBr、MeGaBr、MeGaBr及びSOであるが、槽27の冷却した領域37で収集した。
図5を参照すると、本発明の第3の実施形態を実行するための実験装置は、入口端部フランジ26及び出口端部フランジ33による境界の反応槽27の同様の配置を含む。唯1つの基板ホルダー28を設け、適切なヒーター31によって加熱し、テスト基板32を支持する。記録計36及び熱電対ワイヤー29は、必要に応じて基板温度をモニターする。冷却した区分37は、出口端部フランジ33近くに維持する。真空ポンプ(図示せず)に連結した出口バルブ34は、反応器槽27内の圧力を調節する。
この装置において、反応槽27に入る前に、入口ガスは第1の実施形態と同一の方式で処理する。ガス入口管路20はシステムに搬送ガスを供給する。搬送ガス供給は、直結入口バルブ21に通って直接反応槽へ通してもよいが、バブラーバルブ22を通してもよい。バブラー23に入る搬送ガスは、液体試薬エッチング成分24中に通し、そこでエッチャント蒸気を拾い上げ、バルブ25によって制御され、反応器に気相でそれを輸送する。基板ホルダーの動作温度に達したら、搬送ガスの流れは、バルブ21を閉じバルブ22及び25を開くことにより、試薬24の中に導かれ、エッチャントガスを生成する。次いで、エッチャントガスを活性化槽(この場合、UV活性化槽46)に通し、そこで、ガス流に照射してエッチャントガスを活性化する。活性化ガスは、テスト基板32を横切って流れ、基板32上の反応器堆積を乾式エッチングする。揮発性の非ガス状反応生成物は、槽27の冷却した区分37上に凝縮する。
SOCl 150℃ − UV予備励起
被覆された基板32を第1の基板ホルダー28に置いた。ガス入口20及び直結入口バルブ21から反応器槽27を通る連続搬送ガス(Ar)流の下で、IRヒーター31を用いて基板32を150℃に加熱する。基板が150℃に達したら、SOClがガスに拾い上げられるように、ガスの流れを、バブラーバルブ22を通して試薬24(SOCl)を含むバブラー23へ転換した。SOClはバルブ25を通ってバブラーを出、活性化槽46を通り、次いで、反応槽27に入り、そこで基板32を通り、ステンレス鋼基板32上の堆積をエッチングした。この反応は、非常に迅速で効率的であり、3−5分以内に完全に基板を浄化した。副生物は、主としてGaCl及びSOであるが、槽27の冷却した領域37で収集した。
図6は、本発明の第4の実施形態の実行のための実験装置を示す。反応器槽27及びその中味はすべて第1の実施形態と同じであるが、しかし、この場合、エッチャントガスは、バルブ48、50によって調節される圧縮ガスの1つ又は複数のシリンダー47、49から直接供給される。ガス入口管路20は、アルゴンなどの搬送ガスをシステムに供給する。搬送ガス供給は、パージサイクル中に必要とされ得るように、直結入口バルブ21に通して反応槽へ直接通してもよい。基板ホルダーの動作温度に達したら、搬送ガスの流れはバルブ21を閉じることにより停止し、圧縮ガスシリンダー47及び49からのエッチャントガス成分の流れは、バルブ48及び50を開くことによって開始する。ガスは反応槽27に入る前に合流し、結果として生じた合流エッチャントは、テスト試験基板32を横切って流れ、テスト基板32上の反応器堆積を乾式エッチングする。揮発性の非ガス状反応生成物は槽27の冷却した区分37上に凝縮する。
搬送ガスなし、CO+Br 200℃;300℃への予熱
被覆された基板32を第1の基板ホルダー28に置いた。ガス入口20及び直結入口バルブ21から反応器槽27を通る連続ガス(Ar)流の下で、IRヒーター31を用いて基板32を200℃に加熱した。第2の基板ホルダー30を、IRヒーター31を用いて300℃に加熱した。好適な温度に達したら、バルブ21を閉じることにより搬送ガスの流れを停止し、続けてバルブ48及び50を開け、エッチャントガス(Br及びCO; COと比較して約5%のBr)を合わせて反応器槽27に入れた。エッチャントガスの組み合わせは、基板ホルダー30を越えて加熱領域を通るときに熱により活性化された。活性化されたガスが基板32を通ったとき、基板32上の被膜のかなりの部分がエッチングされたが、非常にかすかな膜が表面に残存した。副生物は、主としてGaBr及びCOであるが、槽27の冷却した領域37で収集した。
室温で液体であるチオニルハライドは、取り扱いがより簡単であるので、これらの実験ほとんどは、SOX系を使用して行った。それがまた、効果的なことを示した。カルボニル(COX)及びニトロシル基(NOX)などの他の同様の系の化学現象(すなわち反応性)が非常に類似しているので、これらの化学物質も本明細書に略述した用途に効果のあることが予想される。すべての事例において、金属酸化物のエッチングプロセスは、エッチャントガスからのSO、CO及びNOガスの発生によって推進されるが、それはこれらのガスが出発材料より安定であり、また非常に揮発性であるからである。プロセス温度でやはり安定で、しかも揮発性である金属ハロゲン化物GaCl、GaBrの形成によってもまた、反応を推進する。
さらに、材料のそれぞれは、通常個々のガスの反応によって調製することができる。例えば、NO及びClは気相で反応してNOClを発生する。したがって、個々のガスが加熱活性化を伴う槽を通るとき、活性種が発生し、次いで、これが表面酸化物と反応して基板上の被膜をエッチングすると予想される。
本明細書及び以下の特許請求の範囲への参照によって適切に定義されている、本発明の範囲から離れることなく、本明細書に記載される好ましい実施形態に対する他の変形を実施することができることは当業者によって認識されるであろう。

Claims (25)

  1. III−V族半導体の薄膜堆積用の化学反応器槽の内表面、および前記化学反応器槽内の基板に堆積した反応生成物を除去する方法であって、
    反応器槽を少なくとも200℃の高温に加熱する工程;
    一般式AO[式中、Aは、N及びSからなる群から選択され;Oは酸素であり;Xはハロゲンであり;下付き添字及びは0を超える]であるエッチャントガスを前記反応器槽に導入する工程;
    前記導入の前又は後に前記エッチャントガスを活性化する工程;
    前記エッチャントガスと前記反応生成物の間でエッチング反応を進めて、前記反応器槽内のエッチング反応で生成される副生成物再堆積なく前記反応生成物を除去する工程;及び
    前記エッチング反応の副生成物と一緒に前記エッチャントガスを排気する工程を含み、
    前記エッチング反応中に前記反応器槽内の圧力を20mBarから1000mBarの間とし、前記反応器槽の温度を少なくとも200℃とすることによって、前記反応器槽内のエッチング反応の副生成物の前記反応器槽内への再堆積をなくすることを特徴とする方法。
  2. 前記反応器槽への前記導入前に前記エッチャントガスを発生させるさらなる工程を含む、請求項1に記載の方法。
  3. 前記反応器槽への前記エッチャントガスの前記導入前に、搬送ガスを液体化学的成分に通して泡立たせて前記液体化学的成分を前記エッチャントに揮発させるさらなる工程を含む、請求項1に記載の方法。
  4. 複数の液体化学的成分に通して搬送ガスを泡立たせ、次いで、結果として得られたガスを合わせることにより前記エッチャントガスを発生させる、請求項2に記載の方法。
  5. 2種以上の化学的成分ガスを混合することにより前記エッチャントガスを発生させる、請求項2に記載の方法。
  6. 前記反応器槽への導入前に、加熱、紫外線及びプラズマ放電からなる群から選択される、ガス活性化槽中の活性化機構に前記エッチャントガスを曝露することによってそれを活性化させる、請求項1に記載の方法。
  7. 前記反応器槽への導入後に、前記反応器槽内の全体加熱及び前記反応器槽内の局所熱源からなる群から選択される熱活性化機構に前記エッチャントガスを曝露することによってそれを活性化する、請求項1に記載の方法。
  8. 前記エッチャントガスが一般式RX
    [式中、Rは、H及びMeからなる群から選択され;
    XはF、Cl、Br及びIからなる群から選択される。]の添加剤をさらに含む、請求項1に記載の方法。
  9. 前記エッチャントガスがまたハロゲンガス添加剤を含む、請求項1に記載の方法。
  10. III−V族半導体の薄膜堆積用の化学反応器槽の内表面、および前記化学反応器槽内の基板に堆積した反応生成物を除去する方法であって、
    反応器槽を少なくとも100℃の高温に加熱する工程;
    一般式AO[式中、Aは、N及びSからなる群から選択され;Oは酸素であり;X及びYは相異なるハロゲンであり;下付き添字及びは0を超える]であるエッチャントガスを前記反応器槽に導入する工程;
    前記導入の前又は後に前記エッチャントガスを活性化する工程;
    前記エッチャントガスと前記反応生成物の間でエッチング反応を進めて、前記反応器槽内のエッチング反応で生成される副生成物再堆積なく前記反応生成物を除去する工程;及び
    前記エッチング反応の副生成物と一緒に前記エッチャントガスを排気する工程を含み、
    前記エッチング反応中に前記反応器槽内の圧力を20mBarから1000mBarの間とし、前記反応器槽の温度を少なくとも200℃とすることによって、前記反応器槽内のエッチング反応の副生成物の前記反応器槽内への再堆積をなくすることを特徴とする方法。
  11. 前記反応器槽への前記導入前に前記エッチャントガスを発生させるさらなる工程を含む、請求項10に記載の方法。
  12. 前記反応器槽への前記エッチャントガスの前記導入前に、液体化学成分に通して搬送ガスを泡立たせて前記液体化学成分を前記エッチャントに揮発させるさらなる工程を含む、請求項10に記載の方法。
  13. 複数の液体化学的成分に通して搬送ガスを泡立たせ、次いで、結果として得られたガスを合わせることにより前記エッチャントガスを発生させる、請求項11に記載の方法。
  14. 2種以上の化学的成分ガスを混合することにより前記エッチャントガスを発生させる、請求項11に記載の方法。
  15. 前期反応器槽への導入前に、加熱、紫外線及びプラズマ放電からなる群から選択される、ガス活性化槽中の活性化機構に前記エッチャントガスを曝露することによってそれを活性化させる、請求項10に記載の方法。
  16. 前記反応器槽への導入後に、前記反応器槽内の全体加熱及び前記反応器槽内の局所熱源からなる群から選択される熱活性化機構に前記エッチャントガスを曝露することによってそれを活性化させる、請求項10に記載の方法。
  17. 前記エッチャントガスが一般式RX
    [式中、Rは、H及びMeからなる群から選択され;
    XはF、Cl、Br及びIからなる群から選択される。]の添加剤をさらに含む、請求項10に記載の方法。
  18. 前記エッチャントガスがまたハロゲンガス添加剤を含む、請求項10に記載の方法。
  19. 前記圧力を500mBarから1000mBarの間とする、請求項1又は10に記載の方法。
  20. 前記高温を200℃から400℃の間とする、請求項1又は10に記載の方法。
  21. 前記高温を250℃から400℃の間とする、請求項1又は10に記載の方法。
  22. 前記エッチング反応中、前記温度を一定とする、請求項1又は10に記載の方法。
  23. 前記エッチング反応中、前記圧力を一定とする、請求項1又は10に記載の方法。
  24. 前記反応生成物が金属酸化物を含む、請求項1又は10に記載の方法。
  25. 前記反応生成物が酸化ガリウムを含む、請求項1又は10に記載の方法。
JP2015546781A 2012-12-18 2012-12-18 薄膜堆積反応器及び薄膜層をインサイチューで乾式浄化するプロセス及び方法 Active JP6390977B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CA2012/001164 WO2014094103A1 (en) 2012-12-18 2012-12-18 Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers

Publications (2)

Publication Number Publication Date
JP2016516287A JP2016516287A (ja) 2016-06-02
JP6390977B2 true JP6390977B2 (ja) 2018-09-19

Family

ID=50977442

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015546781A Active JP6390977B2 (ja) 2012-12-18 2012-12-18 薄膜堆積反応器及び薄膜層をインサイチューで乾式浄化するプロセス及び方法

Country Status (6)

Country Link
US (1) US10240230B2 (ja)
EP (1) EP2934775B1 (ja)
JP (1) JP6390977B2 (ja)
KR (1) KR101792165B1 (ja)
CN (1) CN104853855B (ja)
WO (1) WO2014094103A1 (ja)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
DE102014115708A1 (de) 2014-10-29 2016-05-04 Aixtron Se Verfahren zum Trennen einer Kohlenstoffstruktur von einer Keimstruktur
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
CN107799467B (zh) * 2016-08-30 2021-01-29 上海新昇半导体科技有限公司 一种刻蚀方法、刻蚀装置及半导体晶圆分割方法
DE102017100725A1 (de) * 2016-09-09 2018-03-15 Aixtron Se CVD-Reaktor und Verfahren zum Reinigen eines CVD-Reaktors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP7062658B2 (ja) 2016-12-09 2022-05-06 エーエスエム アイピー ホールディング ビー.ブイ. 熱原子層エッチングプロセス
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102414617B1 (ko) 2017-08-17 2022-07-01 삼성전자주식회사 기판 처리 장치 및 이의 세정 방법
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
WO2020061484A1 (en) * 2018-09-21 2020-03-26 Lam Research Corporation Etching metal-oxide and protecting chamber components
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
TW202113506A (zh) * 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
WO2021038523A1 (en) * 2019-08-29 2021-03-04 Seastar Chemicals Ulc Organometallic compounds for the deposition of high purity tin oxide and dry etching of the tin oxide films and deposition reactors
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102288382B1 (ko) * 2019-09-20 2021-08-11 대전대학교 산학협력단 플라즈마에칭공정상의 l-fc 제거 방법 및 그 시스템
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2022015689A1 (en) * 2020-07-13 2022-01-20 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Selective thermal etching methods of metal or metal-containing materials for semiconductor manufacturing
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5071272A (ja) * 1973-10-26 1975-06-13
JPS62280336A (ja) 1986-05-28 1987-12-05 Tanaka Kikinzoku Kogyo Kk ルテニウム回収方法
JPH0218926A (ja) * 1988-07-07 1990-01-23 Nippon Telegr & Teleph Corp <Ntt> 化合物半導体薄膜の製造方法
JPH03174725A (ja) * 1989-12-04 1991-07-29 Toshiba Corp 金属の除去方法
JP3371143B2 (ja) 1991-06-03 2003-01-27 ソニー株式会社 ドライエッチング方法
US5445712A (en) 1992-03-25 1995-08-29 Sony Corporation Dry etching method
JPH05291201A (ja) * 1992-04-08 1993-11-05 Sony Corp アルミニウム系パターンの形成方法
JP3186264B2 (ja) * 1992-04-23 2001-07-11 ソニー株式会社 ドライエッチング方法
JP3111640B2 (ja) * 1992-06-05 2000-11-27 ソニー株式会社 ドライエッチング方法
JP3109253B2 (ja) * 1992-06-29 2000-11-13 ソニー株式会社 ドライエッチング方法
JP3264035B2 (ja) * 1993-04-26 2002-03-11 ソニー株式会社 ドライエッチング方法
US5626786A (en) * 1995-04-17 1997-05-06 Huntington; John H. Labile bromine fire suppressants
US5750441A (en) * 1996-05-20 1998-05-12 Micron Technology, Inc. Mask having a tapered profile used during the formation of a semiconductor device
KR980005793A (ko) * 1996-06-12 1998-03-30 김광호 반도체장치 제조공정의 플라즈마 식각 방법
US6165375A (en) * 1997-09-23 2000-12-26 Cypress Semiconductor Corporation Plasma etching method
TW448503B (en) * 1999-03-11 2001-08-01 Toshiba Corp Method for dry etching
US6770144B2 (en) * 2000-07-25 2004-08-03 International Business Machines Corporation Multideposition SACVD reactor
US6559462B1 (en) * 2000-10-31 2003-05-06 International Business Machines Corporation Method to reduce downtime while implanting GeF4
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040014327A1 (en) 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US6890596B2 (en) 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
EP1733072A2 (en) * 2004-03-24 2006-12-20 Massachusetts Institute Of Technology Remote chamber methods for removing surface deposits
US20070006893A1 (en) * 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
WO2008117258A2 (en) * 2007-03-27 2008-10-02 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for low temperature thermal cleaning
KR20100071961A (ko) 2007-09-19 2010-06-29 가부시키가이샤 히다치 고쿠사이 덴키 클리닝 방법 및 기판 처리 장치
JP4994197B2 (ja) 2007-11-16 2012-08-08 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5638772B2 (ja) * 2009-05-25 2014-12-10 スタンレー電気株式会社 酸化亜鉛系半導体の成長方法及び半導体発光素子の製造方法
US20110073136A1 (en) * 2009-09-10 2011-03-31 Matheson Tri-Gas, Inc. Removal of gallium and gallium containing materials
US20110237051A1 (en) 2010-03-26 2011-09-29 Kenneth Lee Hess Process and apparatus for deposition of multicomponent semiconductor layers

Also Published As

Publication number Publication date
JP2016516287A (ja) 2016-06-02
KR101792165B1 (ko) 2017-10-31
EP2934775B1 (en) 2021-03-17
EP2934775A1 (en) 2015-10-28
CN104853855A (zh) 2015-08-19
CN104853855B (zh) 2020-07-24
WO2014094103A1 (en) 2014-06-26
US20150218695A1 (en) 2015-08-06
KR20150095611A (ko) 2015-08-21
US10240230B2 (en) 2019-03-26
EP2934775A4 (en) 2017-05-17

Similar Documents

Publication Publication Date Title
JP6390977B2 (ja) 薄膜堆積反応器及び薄膜層をインサイチューで乾式浄化するプロセス及び方法
US9932670B2 (en) Method of decontamination of process chamber after in-situ chamber clean
JP6463339B2 (ja) 後続の多段洗浄ステップを伴うmocvd層成長方法
JP2008060536A (ja) 高流量のGaCl3供給
US20080314418A1 (en) Method and System for Furnace Cleaning
JP2003526731A (ja) Cvdコールドウォールチャンバおよび排気ラインの清浄方法
TWI503437B (zh) Hvpe腔室硬體與hvpe方法
US20060160367A1 (en) Methods of treating semiconductor substrates
JP2004153265A (ja) 酸化クリーニングガスを熱活性化する方法および装置
EP2553140A1 (en) Process and apparatus for deposition of multicomponent semiconductor layers
CN114630834A (zh) 用于沉积高纯度氧化锡的有机金属化合物和干法蚀刻所述氧化锡薄膜和沉积反应器
JP5710433B2 (ja) 成膜装置のクリーニング方法および成膜装置
KR100786609B1 (ko) 기구 부품의 반응성 기체 청소 방법 및 공정
JP2004327639A (ja) 半導体原料、半導体装置の製造方法、基板処理方法、および基板処理装置
JP2007177320A (ja) Al含有金属膜及びAl含有金属窒化膜を蒸着する薄膜蒸着装置の洗浄方法
US20190226082A1 (en) Cvd reactor and method for cleaning a cvd reactor
JP2008211211A (ja) 半導体装置の製造方法および基板処理装置
JPS59223294A (ja) 気相成長装置
JP2010212400A (ja) 窒化物半導体製造装置部品の洗浄方法及び洗浄装置
JP2001189273A (ja) 半導体処理装置のクリーニング方法および半導体処理装置
JP3827869B2 (ja) 半導体製造装置およびそのクリーニング方法
KR20230127130A (ko) 막제조방법
JP6339423B2 (ja) 半導体製造装置構成部材の清浄化方法
JP2006089770A (ja) 処理装置クリーニング方法
Martin et al. Flowtube experiments on diamond formation: separating the growth and nucleation kinetics

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160223

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161018

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170117

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170317

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170418

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170413

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170713

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180508

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180514

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20180601

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180710

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180809

R150 Certificate of patent or registration of utility model

Ref document number: 6390977

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250