CN104979188A - 用于生产微机电系统的方法 - Google Patents

用于生产微机电系统的方法 Download PDF

Info

Publication number
CN104979188A
CN104979188A CN201510176786.7A CN201510176786A CN104979188A CN 104979188 A CN104979188 A CN 104979188A CN 201510176786 A CN201510176786 A CN 201510176786A CN 104979188 A CN104979188 A CN 104979188A
Authority
CN
China
Prior art keywords
gas
passivation
volume
carbonyl fluoride
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201510176786.7A
Other languages
English (en)
Inventor
马尔塞洛·里瓦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Solvay Fluor GmbH
Original Assignee
Solvay Fluor und Derivate GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Solvay Fluor und Derivate GmbH filed Critical Solvay Fluor und Derivate GmbH
Publication of CN104979188A publication Critical patent/CN104979188A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00531Dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)
  • Surface Treatment Of Glass (AREA)

Abstract

本发明涉及用于生产微机电系统的方法。元素氟和碳酰氟是适合用于生产微机电器件(“MEMS”)的蚀刻剂。它们优选作为与氮气和氩气的混合物来应用。如果在Bosch型方法中施用,C4F6是一种高度适合的钝化气体。

Description

用于生产微机电系统的方法
本申请是申请日为2008年12月16日、申请号为200880125396.5、发明名称为“用于生产微机电系统的方法”的中国专利申请的分案申请。
技术领域
本发明涉及在集成电路的生产中在半导体晶片结构上的电路图案的蚀刻,确切地是它涉及在微机电系统(“MEMS”)的生产中在结构上的深槽的蚀刻。
背景技术
MEMS涉及非常小的物品(例如,通常大小在从微米到毫米范围的机器)的技术。远在该技术存在之前人们就认识到了非常小的机器的潜力。常见的应用包括用压电体或热气泡喷射来操作的喷墨打印机、汽车中的加速计(例如用于碰撞时气囊的打开)、陀螺仪、硅压力传感器(例如用于监控汽车轮胎或血压)、光控开关技术、或在医药和健康相关的技术中的生物MEMS应用。微电子电路处理由传感器从环境中通过测量机械的、热的、生物的、化学的、光学的或磁学的现象所采集的信息。
国际专利申请WO88/08930(=US 5,047,115)公开了一种用SF6或NF3在硅中蚀刻深槽的方法。该方法非常适合于生产磁性记录头的领域,它是MEMS的一个非常重要的领域。
EP专利申请EP-A-0 200 951披露了一种方法,该方法通过施用一种NF3或SF6、N2、和一种层形成(钝化)气体例如CHF3的混合物用于硅的高速率各向异性蚀刻。
英国专利GB 2 290 413披露了一种用于处理硅结构的方法,该方法在一个第一蚀刻步骤中通过使用一种提供氟的气体(例如SF6或NF3)和一种形成特氟纶(RTM)的钝化气体(例如CHF3、C2F6、C2F4或C4F8)来产生一个槽。然后,通过一种更进一步的各向同性等离子体蚀刻步骤产生膜下侵蚀。所产生的结构可以用作加速传感器。
US专利申请公布US 2003/0189024披露了如何通过替代性地在硅上蚀刻出一个开口并且将一种正形的(钝化)氟烷聚合物沉积到侧壁上来提供可变形状的开口。提到HBr和氦-氧以及可任选的SF6作为已知的各向同性蚀刻。
US专利申请公布US 2005/0029221披露了在一种两步骤方法中用于蚀刻深槽的一种方法。第一步包括蚀刻具有楔形轮廓(profile)的一个楔形槽。在第一步骤中使用HBr/CF4作为优选的蚀刻剂。然后,在一个各向同性的蚀刻步骤中,通过高密度的等离子体来修整楔形槽以便通过施用SF6/HBr/O2提供一种直轮廓的深槽。
发明内容
本发明的目的是提供一种改进的用于生产微机电系统的方法。本发明的另一个目的是提供新颖的蚀刻气体混合物,除其他之外,它适合于在本发明的方法中应用。本发明的这些和其他目的通过如下概述的方法和气体混合物来实现。
根据本发明的第一方面,提供了一种由结构生产微机电系统(“MEMS”)的方法,该方法包括如下步骤:其中施用蚀刻气体来蚀刻该结构,该蚀刻气体包括元素氟和碳酰氟(COF2)或两者的混合物。优选地,在蚀刻步骤的同时,或在该蚀刻之后的附加步骤中,将该结构用钝化气体处理。如果蚀刻和钝化在单独的步骤中进行,优选进行多个连续的蚀刻步骤和钝化步骤。该钝化气体优选地是选自形成一个含氟或含氟化物的钝化层的化合物。优选地,该钝化剂是选自在等离子体中提供氟聚合物的有机化合物;该钝化剂可任选地在氢气或释放氢气的气体的存在下施用。
优选地,无次氟酸盐类、氟代过氧化物类和/或氟代三氧化物类引入到反应器中或有意地在其中形成。这些气体混合物也不含有包括CN键以及氢的化合物。优选地,待蚀刻的物品不含WC(碳化钨)或其合金。
贯穿本发明,术语“包含”包括“由......构成”的含义。
“结构”可以是,例如,在体微机械加工中的一种硅晶片,或在表面微机械加工中的一种组装物。
尽管该方法可以热致地进行,即通过在高温下进行,例如在等于或高于200℃并且等于或低于500℃的温度下,或根据冻结法进行,其中涉及达-110℃的超低温度,该方法最好是用Bosch法进行,该博施法可以在由Alcatel先进材料等离子体-热或表面技术系统(Alcatel,AdvancedMaterials,Plasma-Therm or Surface Technology Systems)提供的等离子体蚀刻器中进行。等离子体状态是通过一种磁控管或微波辐射来诱导的。将考虑优选的等离子体蚀刻的实施方案对本发明进行进一步说明。
元素氟和碳酰氟可以不用稀释剂或另外的化合物而进行应用。优选的是通过一种惰性气体将它们稀释,或在氧气的存在下和/或在具有钝化作用的气体或蒸汽的存在下、或甚至通过氧气和/或一种惰性气体进行稀释并且在一种钝化气体的存在下而进行应用。优选的稀释剂是选自由氮气和稀有气体构成的组。此外,如随后所述可以加入添加剂,像氧气、氢气或钝化气体。
根据本发明的第一优选实施方案,施用元素氟与氮气、氦气和/或氩气的混合物。该混合物可在反应器中形成,或者在将其引入该反应器之前形成元素氟和这种或这些惰性气体的混合物。如果这些气体以这样一种预先混合的形式被引入反应器,可以在整个反应室中提供均匀的或近似均匀的混合物。总体上,混合物的氟含量按体积计优选地是在1%到35%之间。例如,可以从加压瓶中提供F2和惰性气体的混合物。在这些加压瓶中,形成了均匀的混合物。
包括元素氟和氮气的混合物、包括元素氟和氩气的混合物是优选施用的,尤其优选地是包括元素氟、氮气和氩气的混合物。如果施用仅包括元素氟和氮气的混合物,元素氟的含量按体积计优选地是等于或大于1%。元素氟的含量按体积计优选地是等于或小于25%。氮气的含量按体积计优选地是等于或小于99%。优选地,它按体积计等于或大于75%。在一个尤其优选的实施方案中,按体积计元素氟的含量在18%到22%的范围内。
如果施用包括元素氟和氩气的混合物,氩气的含量按体积计优选地是等于或大于50%。优选地,它按体积计是等于或小于99%。元素氟的含量按体积计优选地是等于或大于1%。优选地,它按体积计是等于或小于50%,尤其是按体积计等于或小于25%。
如果施用包括元素氟、氮气和氩气的混合物,元素氟的含量按体积计优选地是等于或大于1%。它优选地是按体积计等于或小于25%。氩气的含量按体积计优选地是等于或大于4%。优选地,氩气的含量按体积计是等于或小于25%。氮气的含量按体积计优选地是等于或大于4%。它优选地按体积计是等于或小于75%。
元素氟和氩气的总数按体积计优选地是等于或小于50%,尤其优选地按体积计等于或小于45%。它按体积计优选地是等于或大于25%。
根据第二优选实施方案,施用包括碳酰氟而无元素氟的混合物。该实施方案相对于施用含元素氟(参见以上)或氟气以及碳酰氟(参见以下)的气体混合物的那些实施方案是优选的。
碳酰氟可以非常灵活地使用。它一般是与其他气体或汽化的液体一起施用。
碳酰氟还可以在各向异性蚀刻和各向同性蚀刻中使用。
如果它用于各向同性蚀刻中,它可以如此施用,但是优选是它以与其他氟化的有机气体或蒸汽(例如饱和的或不饱和的氟醚类或氟化的酯类,例如在JP 10-223614中所描述的那些)的混合物来施用,但是尤其是,它与氮气、氧气和/或惰性气体一起施用。
总体上,混合物中碳酰氟的含量按体积计优选地是等于或大于1%。总体上,它按体积计是等于或小于99%。该混合物可在反应器中形成,或者优选地,碳酰氟和这种或者这些惰性气体的混合物在被引入该反应器之前形成。如果这些气体以这样一种预先混合的形式引入反应器,则在整个反应室中提供了均匀的混合物。
有利地是施用包括碳酰氟和氮气的混合物、包括碳酰氟和氩气的混合物以及包括碳酰氟、氮气和氩气的混合物。它们可以与氢气或者释放氢气的气体一起施用。优选地,这些混合物还包括氧气。
如果施用仅包括碳酰氟和氮气的混合物,碳酰氟的含量按体积计优选地是等于或大于1%。碳酰氟的含量按体积计优选地是等于或小于75%。氮气的含量按体积计优选地是等于或大于25%;优选地它按体积计是等于或小于99%。在一个实施方案中,这些混合物由碳酰氟和氮气组成。
如果施用包括碳酰氟和氩气的混合物,氩气的含量按体积计优选地是等于或大于10%。优选地,它按体积计是等于或小于80%。碳酰氟的含量按体积计优选地是等于或大于20%;优选地它按体积计等于或小于90%。在一个实施方案中,这些混合物由碳酰氟和氩气组成。
包括碳酰氟、氮气和氩气的混合物是非常优选施用的。碳酰氟的含量按体积计优选地是等于或大于1%。它优选地按体积计等于或小于50%。氩气的含量按体积计优选地是等于或大于5%。优选地,氩气的含量按体积计是等于或小于50%;尤其是,氩气的含量按体积计是等于或小于40%。氮气的含量按体积计优选地是等于或大于1%;优选地它按体积计是等于或小于80%。在一个实施方案中,这些混合物由碳酰氟、氮气和氩气组成。
在第三优选实施方案中,元素氟和碳酰氟一起施用。元素氟与碳酰氟的摩尔比优选地在1∶99到99∶1的范围内。优选地,元素氟和碳酰氟的混合物用氧气和/或惰性气体、优选氮气或稀有气体进行稀释。混合物优选地是如以上在第一实施方案中所述而组成,前提是必须用“元素氟和碳酰氟的混合物”来替代“元素氟”。优选的是元素氟和氩气的体积总数按体积计是等于或小于60%。必须注意到,在催化剂的存在下,F2和COF2可以与彼此进行反应,尤其是形成氟氧基三氟甲烷(一种次氟酸盐)。在本发明的方法中,优选不进行这样一种反应。因此,在进行蚀刻的反应器中没有这样的催化剂存在。
在一个实施方案中,以上提到碳酰氟、元素氟或它们的混合物的多种混合物(可任选地包括氮气和/或氩气)还可以包括氧气。优选地,氧气的含量按体积计是等于或大于5%。非常优选地,它按体积计是等于或大于10%。优选地,氧气的含量按体积计是等于或小于30%。非常优选地,它按体积计是等于或小于25%。然后其他组分的含量相应减少。例如,如果按体积计10%的气体混合物是由氧气构成的,则其他组分的含量将减少,例如各自减少10%。
如果希望的话,元素氟、碳酰氟或元素氟和碳酰氟的混合物,还可任选地包括氮气、稀有气体和/或氧气,可以与本领域已知的蚀刻气体一起施用。例如,它们可以与作为附加的蚀刻气体的HBr、SF6或NF3一起施用。在这种情况下,附加的气体的含量按气体混合物的重量计优选地是小于20%。在一种优选实施方案中,元素氟、碳酰氟或它们的混合物作为仅有的蚀刻气体施用,尤其优选地是与如上所述的氩气、氧气和/或氮气一起施用。在此,氩气、氧气和/或氮气分别是按体积计至100%的余量。
上述混合物可以在MEMS生产中在各向异性和各向同性蚀刻中施用。在各向异性蚀刻中,该蚀刻气体通过蚀刻槽的底部形成一个槽而不或几乎不影响其侧壁。各向异性蚀刻可以通过平衡物理蚀刻(它实质上蚀刻槽的底部)和化学蚀刻(它蚀刻槽的底部和侧壁)来引发。如果希望的是各向同性蚀刻,阻止侧壁蚀刻不是必需的。在各向异性蚀刻中,侧壁蚀刻是不希望的。一种优选的各向异性蚀刻的方法是通过或者将一种钝化气体(该钝化气体保护侧壁)包括到蚀刻气体中、或者通过用一种蚀刻气体连续蚀刻来进行,并且在一个独立的步骤中,使用一种钝化气体在侧壁上形成一个钝化层。
该钝化气体是用于在槽的壁上形成一个保护层来阻止它们与蚀刻剂进行反应。钝化气体的性质依赖于结构的类型。对于硅作为结构,溴源(例如元素溴或HBr)可以作为钝化气体施用。形成一个非挥发的SiXBrY化合物层作为保护层。其他与硅形成保护层的来源是NO、NO2、CO2、CO、N2、O2、CS2或SiCl4和N2的一种组合。该钝化气体优选是选自被至少一个氟原子取代的具有1到6个碳原子的环状的、直链的或支链的饱和脂肪族化合物,或选自被至少一个氟原子取代的具有2到6个碳原子的环状的、直链的或支链的不饱和脂肪族化合物。这些化合物由碳和氟以及可任选地氢组成。优选地,相应的饱和或不饱和化合物的至少50%的氢原子被氟原子取代。具有1到6个碳原子的饱和氢氟烷类和饱和氟烷类以及具有2到6个碳原子的不饱和氢氟烷类和不饱和氟烷类是优选的。高度优选的可以用作钝化气体的化合物是c-C4F6、c-C5F8、CH2F2、CHF3、CF4、C2F6、C3F8、C2F4、C4F6和C4F8。化合物c-C6F6和CF3I也是合适的。C4F6作为钝化气体是尤其优选的。专家知道一些合适的化合物具有常压下高于20℃的沸点。他知道对于这些化合物,术语“气体”应理解为是指“蒸汽”。即使在20℃不是气态,这些化合物也是合适的,因为本发明的方法是在一种足够低的压力下进行的,此时这些化合物不再是液体而是处于一种蒸汽形式。他还知道这些蒸汽像气体一样起作用。
尤其是如果仅氟碳化合物(由碳和氟组成)作为钝化气体施用,可以优选地将一种提供氢气的气体包括到气体混合物中。这可以改进在蚀刻过程中该气体混合物的各向异性效果。不受任何理论的限制,认为有可能是氢气清除了氟基,由此将氟烷基留在反应混合物中。氟烷基是良好的蚀刻剂并且还提高了钝化气体的各向异性效果;因此,氢气似乎有可能平衡氟基和氟烷基之间的比例。与氟基相比,更高份额的氟烷基被认为减小了与槽壁上的保护层的反应。元素氢或提供氢的化合物,例如含氢的氟烷类,尤其是C1或C2氢氟烷类,最优选二氟甲烷和三氟甲烷,是非常合适的。钝化气体可以甚至以更高的量存在。例如,该气体混合物可以包含按体积计高达45%的钝化化合物。于是一种或所有其他组分的含量就低于以上所给出的。
仅在MEMS的制备中用于钝化的优选的气体混合物(具有低的或没有各向异性蚀刻效果)包括一种或多种上述具有1到6个碳原子(饱和的)或2到6个碳原子(不饱和的)的脂肪族环状、直链或支链的氟烷类或氢氟烷类。可任选地,尤其是如果全氟化的化合物作为钝化气体施用时,还存在一种氢气或一种释放氢气的气体(在热致条件下、尤其是在200℃或在高于200℃的温度下,或在一种等离子体中释放氢气的气体),优选地是二氟甲烷或三氟甲烷。如果存在氢气或一种释放氢气的气体,它优选地是以按体积计1%到5%的量值包含在内。还有,它有可能包括用于改进该等离子体的氩气。
用于MEMS蚀刻的方法可以主要以两种替代方案进行:将该结构用蚀刻气体和钝化气体同时进行处理,或在一个步骤中用蚀刻气体进行处理,而在另一个步骤中用钝化气体进行处理;第二个替代方案称为“Bosch”法,其中蚀刻和钝化是连续进行的。该“Bosch”型法是优选的。当然,该处理可以作为一种通过延长处理时间的一次性处理来进行,直到获得所希望的结果,例如槽深度。尤其是,如果蚀刻和钝化单独进行,则连续重复蚀刻步骤和钝化步骤若干次直到实现所希望的结果。尽管在技术上有利的是连续地进行蚀刻和钝化,如果预计蚀刻气体和钝化气体彼此会以一种不希望的方式进行反应,则这也许是必须的。
例如,如果施用元素氟作为蚀刻气体,并且旨在施用一种不饱和的氟烷或饱和或不饱和的氢氟烷作为钝化气体,非常可取的是在单独的连续步骤中进行蚀刻和钝化。即使预计在元素氟和钝化气体之间没有副反应,与同时蚀刻和钝化相比,Bosch型方法仍是有利的,因为它更快。
碳酰氟和C4F6可以用在分开的步骤中或一起在一个同时的蚀刻/钝化步骤中,可任选地以与氮气或一种稀有气体例如氩气或一种释放氢气的气体的一种混合物施用,因为没有所不希望的反应发生。元素氟和一种全氟化碳还可能同时施用,因为预计没有副反应(然而,由于可能更高的反应速度,连续的施用可能是有利的),而元素氟和C4F6应该在分开的蚀刻步骤和钝化步骤中施用,因为,即使用氮气和/或一种惰性气体稀释,在元素氟和C4F6之间也可能发生一种加成反应。
如果蚀刻和钝化在分开的步骤中进行,那么蚀刻可以用上述蚀刻混合物进行。然后钝化可以使用以上提及的钝化气体进行。
如果希望的话,通过蚀刻和钝化形成槽之后,可以进行一个附加的步骤(或多个步骤)以实现膜下蚀刻,如GB 2 290 413中所描述。在该步骤中,优选的是仅施用蚀刻气体。
在等离子体处理中该结构的温度通常是保持在20℃到100℃的范围内,但是它可以更高。在等离子体处理中压力优选地是等于1.5·10-2毫巴到15毫巴。优选地,该压力等于或高于1·10-1毫巴。它优选地等于或低于1.5毫巴。
尽管该结构可以具有可变的形式,它优选是处于一种晶片的形状。优选地,该结构是一种硅晶片。
该蚀刻可以根据体微机械加工技术进行,其中待蚀刻物(例如一种硅晶片)的整个厚度,被用来构建微机械结构。可替代地,该蚀刻可以根据表面微机械加工技术进行,其中通过施加涂层和对它们进行选择性蚀刻来生产多个层。该蚀刻法通常可以用在深的反应性离子蚀刻技术中。
根据本发明该方法可以用来生产用于微机电系统的半导体,例如,如以上所述的加速传感器、磁性记录头、喷墨打印机、陀螺仪以及其他的物品。
有用于本发明的方法的某些气体混合物是新颖的;它们也是本发明的一个方面并且对其本身也提出了权利要求。在本发明的这个方面,术语“包括”也包括“由......构成”的含义。这些气体混合物不含有添加的次氟酸盐类、氟代过氧化物类和/或氟代三氧化物,并且优选地,这些气体混合物实质上不含次氟酸盐类、氟代过氧化物类和/或氟代三氧化物;术语“实质上”表示这些化合物中任何一种按体积计小于1%的含量、并且优选按体积计为0%的含量。这些气体混合物也无含有CN键以及氢的化合物。
在以下段落详细说明的气体混合物(如上所述)对其本身也提出了权利要求。它们可以处于气态、液态、固态或甚至是超临界状态。对其本身也提出了权利要求的气体混合物优选以液态存在。例如,它们能以液态包含在加压容器中,例如瓶中。当然,取决于液体和容器的体积,液体以上存在一定量的气态气氛。
这一方面的一个实施方案涉及包括元素氟和碳酰氟的混合物。根据本发明的这些混合物优选地包括摩尔比为从1∶99到99∶1的元素氟和碳酰氟。元素氟和碳酰氟的分子比优选等于或大于5∶95。优选地,它等于或小于95∶5。优选排除由等摩尔量的元素氟和碳酰氟组成的混合物。
元素氟和碳酰氟的混合物可以进一步包括氧气、一种稀有气体、氮气。该稀有气体优先选自氦气和氩气,由此氩气是尤其优选的。这些混合物是尤其适合用于MEMS蚀刻。可任选地,这些混合物还可以包括一种钝化气体,优选是如上所述的一种钝化气体。该钝化气体不应与元素氟进行反应;全氟代化合物是非常适合的。
元素氟、碳酰氟和可任选的氧气、氩气、和/或氮气以及一种钝化气体(如果包括它的话)的量在以上给出。
对其本身也提出了权利要求的元素氟和碳酰氟的混合物可以处于气态,液态、固态或甚至是超临界状态。该气体混合物优选以液态存在。例如,它们能以液态包含在加压瓶中。
本发明这一方面的另一个实施方案涉及包括碳酰氟、氮气和/或一种稀有气体、可任选的一种钝化气体以及可任选的氢气或一种释放氢气的气体的混合物。优选地,该稀有气体是选自氦气和氩气,尤其优选地它是氩气。
根据一个优选实施方案,这些混合物由碳酰氟和氮气构成,或它们由碳酰氟和氩气构成,并且在两个替代方案中可任选地还包含氧气。在仅包括碳酰氟和氮气的混合物中,碳酰氟的含量按体积计优选地是等于或大于1%。碳酰氟的含量按体积计优选地是等于或小于75%。氮气的含量按体积计优选地是等于或大于25%;优选地它按体积计是等于或小于99%。在一个实施方案中,这些混合物由碳酰氟和氮气组成。
在包括碳酰氟和氩气的混合物中,氩气的含量按体积计优选地是等于或大于10%。优选地,它按体积计是等于或小于80%。碳酰氟的含量按体积计优选地是等于或大于20%;优选地,它按体积计是等于或小于90%。在一个实施方案中,这些混合物由碳酰氟和氩气组成。
包括碳酰氟、氮气和氩气的混合物是尤其优选的。碳酰氟的含量按体积计优选地是等于或大于1%。它按体积计优选地是等于或小于50%。氩气的含量按体积计优选地是等于或大于5%。优选地,氩气的含量按体积计是等于或小于50%;尤其是,氩气的含量按体积计是等于或小于40%。氮气的含量按体积计优选地是等于或大于1%;优选地,它按体积计是等于或小于80%。在一个实施方案中,这些混合物由碳酰氟、氮气和氩气组成。
这些混合物还可以包括氧气、氢气、一种释放氢气的气体和/或一种钝化气体。详细内容在以上说明。
对其本身提出了权利要求的碳酰氟和氮气和/或一种稀有气体以及可任选的钝化气体或氢气的混合物可以处于气态、液态、固态或甚至是超临界状态。该气体混合物优选以液态存在。例如,它们能以液态包含在加压瓶中。
本发明的混合物适合于例如,进行根据本发明的方法,而且还适合于其他的蚀刻方法,例如,用于生产半导体、用于平板显示器的生产或用于晶片清洗。
本发明这一方面的又另一个实施方案涉及包括碳酰氟和一种钝化气体、以及可任选的还有氮气、一种稀有气体和/或一种释放氢气的气体的混合物。这些混合物适合于同时蚀刻和钝化。氩气的存在是非常优选的。
在包括碳酰氟、钝化剂、氩气和可任选的氮气、氢气或一种释放氢气的气体的混合物中,碳酰氟的含量按体积计优选地是等于或大于15%,非常优选地按体积计等于或大于20%。优选地,它按体积计是等于或小于60%。钝化剂的含量按体积计优选地是等于或大于10%,非常优选地按体积计等于或大于15%。钝化气体的含量按体积计优选地是等于或小于50%,非常优选地按体积计等于或小于45%。氩气的含量按体积计优选地是等于或大于20%,非常优选地按体积计等于或大于25%。优选地,氩气的含量按体积计是等于或小于50%,非常优选地按体积计等于或小于40%。如果包括氮气,其含量优选地按体积计是在1%到10%的范围内。如果包括氢气或一种释放氢气的气体,其含量按体积计优选地是等于或大于2%。优选地,它按体积计是等于或小于15%。
优选地,术语“钝化气体”表示除N2、CO2、CO、CHF2Cl、O2或CO之外的无机或有机化合物;合适的钝化气体是此类化合物,这些化合物在热的(200℃以及更高)或在等离子体条件下与硅反应形成具有低挥发性的硅化合物,或形成一个钝化层从而保护该结构免于蚀刻。尤其优选地,术语“钝化气体”表示一种或多种有机化合物,该有机化合物是选自被至少一个氟原子取代的具有1到6个碳原子的环状的、直链的或支链的饱和脂肪族化合物、或选自被至少一个氟原子取代的具有2到6个碳原子的环状的、直链的或支链的不饱和脂肪族化合物。这些化合物由碳和氟以及可任选地氢组成。优选地,相应的饱和或不饱和的化合物至少50%的氢原子被氟原子取代。具有1到5个碳原子的饱和氢氟烷类和饱和氟烷类以及具有2到5个碳原子的不饱和氢氟烷和氟烷类是优选的。高度优选的作为钝化气体而包括在内的化合物是c-C4F6、c-C5F8、CH2F2、CHF3、CF4、C2F6、C3F8、C2F4、C4F6和C4F8。C4F6作为钝化气体是尤其优选的。化合物c-C6F6和CF3I也是合适的。碳酰氟和钝化气体的混合物可以进一步包括氮气、氧气、和/或稀有气体。甚至可以包括元素氟,前提是该钝化气体是全氟化碳化合物。
仍然更优选地,该混合物包括碳酰氟、C4F6、和氩气以及可任选地氢气或释放氢气的气体。
碳酰氟和钝化气体的、对其本身提出权利要求的混合物可以处于气态、液态、固态或甚至是超临界状态。这些气体混合物优选以液态存在。例如,它们能以液态包含在加压瓶中。
包括一种钝化气体的这些气体混合物尤其适合对应地用于蚀刻步骤、钝化步骤或组合的蚀刻和钝化步骤中。
最后,由元素氟、氮气和氩气以及可任选的氧气组成的一种蚀刻气体混合物是本发明的一个另外的方面。该气体混合物含有按体积计等于或大于10%的元素氟。它包括按体积计等于或小于、优选小于25%的元素氟。它包含等于或大于按体积计5%的氩气。它按体积计含有等于或小于按15%的氩气。它按体积计含有等于或大于65%的氮气。它按体积计含有等于或小于80%的氮气。该混合物非常适合用于MEMS蚀刻。如果包括氧气,它优选地以按体积计2%到15%之间的范围存在。然后一种或多种其他组分(元素氟、氮气、氩气)的含量可以更低,以使得该组分按体积计总计达100%。
元素氟、氮气和可任选地氧气的、对其本身提出权利要求的混合物可以处于气态、液态、固态或甚至是超临界状态。这些气体混合物优选以液态存在。例如,它们能以液态包含在加压瓶中。
已经发现,元素氟比SF6更有效,并且元素氟和碳酰氟两者都具有低的GWP(温室变暖潜能)。
具体实施方式
现在将通过以下实例对本发明进行更详细的说明。
实例
实例1:在MEMS生产中适合用于蚀刻硅的混合物
总体过程:将不同的组分以气态形式从对应的存储瓶通入一个不锈钢容器,在其中以气态形式存储。通过在存储相应气体的过程中控制体积,制备包含适当量的、表1中给出的气体的气体混合物。
表1:蚀刻气体混合物(量值按体积计的%给出)
实例 F2 COF2 N2 Ar O2
1.1 20 70 10 --
1.2 -- 70 -- 20 10
1.3 5 65 20 10 --
1.4* 5 55 20 10
*以1∶4v/v混合物加入元素氟和氮气。
表2:钝化气体混合物(按体积计以%给出的量)
实例 C4F6 Ar H2 CHF3
1.5 60 40 -- --
1.6 50 40 -- 10
1.7 50 40 10 --
1.8 40 40 10 10
表3:适合于同时蚀刻和钝化的气体混合物(按体积计以%给出的量)
1)由德国汉诺威Solvay Fluor GmbH以提供的六氟丁二烯
实例3:通过连续蚀刻和钝化制备一种MEMS器件(体微机械加工)
将用于一种MEMS器件的硅晶片用一种光致抗蚀漆进行涂覆。在根据包括所希望的槽的所希望的结构使光致抗蚀漆用光局部照射之后,将漆的未暴光的部分去除。然后将硅晶片放入一个等离子体腔室中。将根据实例1.1由按体积计20%的元素氟、按体积计70%的氮气以及按体积计10%的氩气组成的一种预混合的蚀刻混合物在大约0.2毫巴的压力下引入到该室中,并且启动微波辐射来引发等离子体状态。将未被光致抗蚀剂覆盖的区域中的硅各向同性地蚀刻掉,从而在硅上形成一个槽。形成一个约20μm宽的槽之后,将蚀刻气体从该反应器中除去,并且向反应器中引入根据实例1.5的由C4F6(按体积计60%)和氩气(按体积计40%)组成的一种钝化气体,并且启动微波辐射来引发等离子体。引入到反应器中的六氟丁二烯实质上在形成于硅中的多个槽的壁上形成了一种氟聚合物涂层,而氩气稳定了该等离子体。具有所希望的厚度的涂层在这些壁上形成之后,将该钝化气体除去,并且将新鲜的蚀刻气体重新引入到该反应器。然后再一次将硅层各向同性地进行蚀刻,从而加深在第一蚀刻步骤中形成的槽。该钝化层保护了该槽的壁。当达到了槽的所希望的追加的深度时,终止蚀刻并且将蚀刻气体从等离子体反应器中除去。再一次地,引入钝化气体,并且进行另一个钝化步骤。此后,将该钝化气体除去,并且继续各向异性蚀刻。蚀刻和钝化连续地进行直到形成一个具有所希望深度的槽。该蚀刻的晶片可以从该室中移出。
实例4:使用碳酰氟蚀刻剂制备一种MEMS器件(体微机械加工)
将来自单晶硅的一种晶片用二氧化硅和一种光致抗蚀漆进行涂覆。然后将该晶片如在实例3中所描述的进行处理,但是用根据实例1.5的一种蚀刻剂混合物。
实例5:通过同时蚀刻和钝化制备一种MEMS器件(体微机械加工)
将一种硅晶片施用二氧化硅的一种电介质层进行涂覆,该电解质层又依次用一种光致抗蚀漆来涂覆。根据包括所希望的槽的所希望的结构,用光将光致抗蚀漆局部照射之后,除去该漆的未暴露部分。然后将硅晶片放入一个等离子体腔室中。将根据实例2.1由按体积计35%的元素碳酰氟、按体积计30%的氩气以及按体积计35%的C4F6组成的气体混合物在大约0.2毫巴的压力下引入到该室中,并且启动微波辐射来引发等离子体状态。将没有被光致抗蚀剂覆盖的区域的二氧化硅蚀刻掉。在蚀刻过程中,形成一个槽。同时,在该槽的壁上形成一个氟聚合物钝化层。继续该处理直到该槽具有所希望的深度。将该蚀刻/钝化气体从该反应器中除去,并且将蚀刻的硅晶片从该室中移出。
实例6:通过用包括一种释放氢气的气体的一种气体混合物同时蚀刻和钝化来制备一种MEMS器件(体微机械加工)
用由按体积计40%的碳酰氟、按体积计20%的C4F6、按体积计30%的氩气和按体积计10%的CHF3组成的实例2.2的气体混合物来重复实例5。
实例7:一种MEMS器件的制备(表面微机械加工)
一个圆的硅晶盘形成待生产的MEMS的基底。首先,在晶片上通过一种低压CVD(化学气相沉积)法形成一个氮化硅层。然后,再一次通过LPCVD沉积一个二氧化硅的牺牲层。该牺牲层允许光束在该MEMS器件上自由地移动。通过施用平版印刷技术,打开牺牲层,例如通过用HF/NH4F进行湿法蚀刻,以允许将所计划的光束锚定。然后,通过LPCVD来沉积一个多晶硅层。为了除去不是所定义的多晶硅层结构的部分,施用一种平版印刷掩膜,然后将表1中的蚀刻气体(例如实例1.1的气体混合物)施用在一个等离子体腔室中来除去在晶片上的多晶硅层的所不希望的部分。然后,施用另一个层,例如光致抗蚀剂SU8,用作结构材料的一种基于环氧的树脂。有关SU8以及怎样施用它的细节可以在以下中找到http://www.geocities.com/guerinlj/?200720
金作为用于加热MEMS的手段应用在一种剥离工艺中,并且用平版印刷术通过电子束蒸发来沉积以除去所不希望的SU8层的部分连同沉积其上的金,这样只保留所希望的金结构。这时除去牺牲层,例如使用以上提及的湿法蚀刻混合物。此时,将光束解除,并且该结构即完成。

Claims (16)

1.一种由结构生产微机电系统(“MEMS”)的方法,所述方法包括如下步骤:其中施用包括元素氟或碳酰氟(COF2)或两者混合物的蚀刻气体来蚀刻所述结构。
2.根据权利要求1所述的方法,其中元素氟或碳酰氟与惰性稀释剂、氧气和/或钝化剂一起施用。
3.根据权利要求1所述的方法,其中与蚀刻同时地或连续地提供所述结构的至少一部分被蚀刻的表面的钝化。
4.根据权利要求3所述的方法,其中所述钝化剂选自在等离子体中提供氟聚合物的有机化合物。
5.根据权利要求4所述的方法,其中所述钝化气体选自:c-C4F6、c-C5F8、CH2F2、CHF3、CF4、C2F6、C3F8、C2F4、C4F6、c-C6F6、CF3I和C4F8
6.根据权利要求1所述的方法,其中应用硅晶片作为结构。
7.根据权利要求1所述的方法,其中在蚀刻步骤中施用包括元素氟、氮气和氩气的气体混合物作为蚀刻气体,在钝化步骤中施用包括C4F6的钝化气体来提供钝化,并且由此连续地交替进行蚀刻步骤和钝化步骤。
8.根据权利要求1所述的方法,其中施用包括碳酰氟和氮气和/或氩气的气体混合物作为蚀刻气体。
9.一种气体混合物,包括碳酰氟和元素氟。
10.根据权利要求9所述的气体混合物,还包括氮气、氩气、和/或与元素氟相容的钝化气体。
11.一种气体混合物,包括碳酰氟以及选自钝化气体、氮气和氩气中的至少一种化合物。
12.根据权利要求11所述的气体混合物,包括:碳酰氟和钝化气体;碳酰氟和氩气;碳酰氟和氩气以及氮气;或碳酰氟、钝化气体和氩气;或碳酰氟、或碳酰氟、钝化气体、和氩气以及氮气;或碳酰氟、钝化气体和氢气或释放氢气的气体。
13.根据权利要求9到12中任一项所述的气体混合物,其中所述钝化气体选自:c-C4F6、c-C5F8、CH2F2、CHF3、CF4、C2F6、C3F8、C2F4、C4F6、c-C6F6、CF3I、和C4F8
14.一种由元素氟、氮气和氩气组成的气体混合物,包括:按体积计等于或大于10%的元素氟、按体积计等于或小于25%的元素氟、按体积计等于或大于5%的氩气、按体积计等于或小于15%的氩气、按体积计等于或大于65%的氮气、按体积计等于或小于80%的氮气以及任选地按体积计2%~15%的氧气,这些含量按体积计总计为100%。
15.根据权利要求9、11或14所述的气体混合物,所述气体混合物处于液态。
16.根据权利要求15所述的气体混合物,所述气体混合物被压缩在容器中。
CN201510176786.7A 2007-12-21 2008-12-16 用于生产微机电系统的方法 Pending CN104979188A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP07150296.7 2007-12-21
EP07150296 2007-12-21
CN2008801253965A CN101925983A (zh) 2007-12-21 2008-12-16 用于生产微机电系统的方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2008801253965A Division CN101925983A (zh) 2007-12-21 2008-12-16 用于生产微机电系统的方法

Publications (1)

Publication Number Publication Date
CN104979188A true CN104979188A (zh) 2015-10-14

Family

ID=39358516

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510176786.7A Pending CN104979188A (zh) 2007-12-21 2008-12-16 用于生产微机电系统的方法
CN2008801253965A Pending CN101925983A (zh) 2007-12-21 2008-12-16 用于生产微机电系统的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2008801253965A Pending CN101925983A (zh) 2007-12-21 2008-12-16 用于生产微机电系统的方法

Country Status (8)

Country Link
US (1) US8524112B2 (zh)
EP (1) EP2235742B1 (zh)
JP (2) JP2011506119A (zh)
KR (1) KR101591114B1 (zh)
CN (2) CN104979188A (zh)
RU (1) RU2010130570A (zh)
TW (1) TWI558655B (zh)
WO (1) WO2009080615A2 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105789378A (zh) 2008-01-23 2016-07-20 苏威氟有限公司 用于生产太阳能电池的方法
JP5002073B2 (ja) * 2009-09-02 2012-08-15 積水化学工業株式会社 シリコン含有膜のエッチング方法
KR20120098751A (ko) * 2009-10-26 2012-09-05 솔베이 플루오르 게엠베하 Tft 매트릭스 제조를 위한 식각 공정
EP2494088A1 (en) 2009-10-30 2012-09-05 Solvay Fluor GmbH Method for removing deposits
WO2012008179A1 (ja) * 2010-07-12 2012-01-19 住友精密工業株式会社 エッチング方法
US8821821B2 (en) 2010-08-05 2014-09-02 Solvay Sa Method for the purification of fluorine
CN103180029A (zh) 2010-09-15 2013-06-26 索尔维公司 从气体中去除f2和/或of2的方法
TWI586842B (zh) 2010-09-15 2017-06-11 首威公司 氟之製造工廠及使用彼之方法
JP5981106B2 (ja) * 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
EP2549526A1 (en) * 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using fluorosubstituted compounds
EP2549525A1 (en) * 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using CHF3
WO2013024041A1 (en) 2011-08-17 2013-02-21 Solvay Sa Electrolytic process for the manufacture of fluorine and an apparatus therefor
EP2860288A1 (en) 2013-10-11 2015-04-15 Solvay SA Improved electrolytic cell
EP2860287A1 (en) 2013-10-11 2015-04-15 Solvay SA Improved electrolytic cell
EP2944385A1 (en) 2014-05-12 2015-11-18 Solvay SA A process for etching and chamber cleaning and a gas therefor
EP3109199B1 (fr) * 2015-06-25 2022-05-11 Nivarox-FAR S.A. Piece a base de silicium avec au moins un chanfrein et son procede de fabrication
US9595451B1 (en) * 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
WO2017159512A1 (ja) * 2016-03-17 2017-09-21 日本ゼオン株式会社 プラズマエッチング方法
DE102016220248A1 (de) * 2016-10-17 2018-04-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und verfahren zum anisotropen drie-ätzen mit fluorgasmischung
GB201708927D0 (en) * 2017-06-05 2017-07-19 Spts Technologies Ltd Methods of plasma etching and plasma dicing

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2290413A (en) * 1994-06-16 1995-12-20 Bosch Gmbh Robert Processing silicon in a plasma etch system
US20010027702A1 (en) * 2000-02-07 2001-10-11 Hobbs John Peter Blanketing metals and alloys at elevated temperatures with gases having reduced global warming potential
JP2003081919A (ja) * 2001-09-12 2003-03-19 Central Glass Co Ltd トリフルオロメチルハイポフルオライトの製造方法
CN1599038A (zh) * 2003-07-15 2005-03-23 气体产品与化学公司 次氟酸酯、氟代过氧化物和/或氟代三氧化物在碳氟化合物刻蚀等离子体中作为氧化剂的应用
CN1770390A (zh) * 2004-04-29 2006-05-10 气体产品与化学公司 使用电子附着从衬底除去物质的方法
WO2007116033A1 (en) * 2006-04-10 2007-10-18 Solvay Fluor Gmbh Etching process

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4784720A (en) 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
US4805456A (en) 1987-05-19 1989-02-21 Massachusetts Institute Of Technology Resonant accelerometer
FR2616030A1 (fr) 1987-06-01 1988-12-02 Commissariat Energie Atomique Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede
US5445712A (en) 1992-03-25 1995-08-29 Sony Corporation Dry etching method
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3064134B2 (ja) 1993-01-14 2000-07-12 三菱重工業株式会社 多層紙形成装置
JPH10223614A (ja) 1997-02-12 1998-08-21 Daikin Ind Ltd エッチングガスおよびクリーニングガス
US6015759A (en) * 1997-12-08 2000-01-18 Quester Technology, Inc. Surface modification of semiconductors using electromagnetic radiation
JP2002509808A (ja) * 1998-01-15 2002-04-02 キオニックス・インコーポレイテッド 集積大面積ミクロ構造体およびミクロメカニカルデバイス
US6203671B1 (en) * 1999-03-10 2001-03-20 Alliedsignal Inc. Method of producing fluorinated compounds
DE10006035A1 (de) * 2000-02-10 2001-08-16 Bosch Gmbh Robert Verfahren zur Herstellung eines mikromechanischen Bauelements sowie ein nach dem Verfahren hergestelltes Bauelement
JP2001267241A (ja) * 2000-03-10 2001-09-28 L'air Liquide クリーニング方法及び装置並びにエッチング方法及び装置
US6599437B2 (en) * 2001-03-20 2003-07-29 Applied Materials Inc. Method of etching organic antireflection coating (ARC) layers
JP4585719B2 (ja) * 2001-08-24 2010-11-24 株式会社アルバック エッチング方法
JP2003158123A (ja) * 2001-08-30 2003-05-30 Research Institute Of Innovative Technology For The Earth プラズマクリーニングガス及びプラズマクリーニング方法
GB2388468B (en) 2002-02-08 2005-05-04 Microsaic Systems Ltd Microengineered electrical connectors
US6979652B2 (en) 2002-04-08 2005-12-27 Applied Materials, Inc. Etching multi-shaped openings in silicon
DE10234589A1 (de) * 2002-07-30 2004-02-12 Robert Bosch Gmbh Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung
DE10237787A1 (de) * 2002-08-17 2004-03-04 Robert Bosch Gmbh Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung
JP4320389B2 (ja) * 2003-02-28 2009-08-26 関東電化工業株式会社 Cvdチャンバーのクリーニング方法およびそれに用いるクリーニングガス
JP4264479B2 (ja) * 2003-03-14 2009-05-20 キヤノンアネルバ株式会社 Cvd装置のクリーニング方法
JP4033086B2 (ja) * 2003-09-05 2008-01-16 ヤマハ株式会社 ドライエッチング方法
US20050029221A1 (en) 2003-08-09 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench etching using HDP chamber
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US20060016459A1 (en) 2004-05-12 2006-01-26 Mcfarlane Graham High rate etching using high pressure F2 plasma with argon dilution
JP4634199B2 (ja) * 2005-03-30 2011-02-16 関東電化工業株式会社 フッ素含有ガスによる表面改質方法及びその装置
US7531461B2 (en) 2005-09-14 2009-05-12 Tokyo Electron Limited Process and system for etching doped silicon using SF6-based chemistry
EP1926840A1 (en) * 2005-09-20 2008-06-04 Air Products and Chemicals, Inc. Apparatus and process for surface treatment of substrate using an activated reactive gas
JP4749174B2 (ja) 2006-02-13 2011-08-17 パナソニック株式会社 ドライエッチング方法、微細構造形成方法、モールド及びその製造方法
JP5028872B2 (ja) * 2006-03-02 2012-09-19 凸版印刷株式会社 針状体の製造方法
US20070232048A1 (en) * 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having a SiCOH low k layer
TW201103972A (en) 2009-04-01 2011-02-01 Solvay Fluor Gmbh Process for the manufacture of etched items

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2290413A (en) * 1994-06-16 1995-12-20 Bosch Gmbh Robert Processing silicon in a plasma etch system
US20010027702A1 (en) * 2000-02-07 2001-10-11 Hobbs John Peter Blanketing metals and alloys at elevated temperatures with gases having reduced global warming potential
JP2003081919A (ja) * 2001-09-12 2003-03-19 Central Glass Co Ltd トリフルオロメチルハイポフルオライトの製造方法
CN1599038A (zh) * 2003-07-15 2005-03-23 气体产品与化学公司 次氟酸酯、氟代过氧化物和/或氟代三氧化物在碳氟化合物刻蚀等离子体中作为氧化剂的应用
CN1770390A (zh) * 2004-04-29 2006-05-10 气体产品与化学公司 使用电子附着从衬底除去物质的方法
WO2007116033A1 (en) * 2006-04-10 2007-10-18 Solvay Fluor Gmbh Etching process

Also Published As

Publication number Publication date
US8524112B2 (en) 2013-09-03
EP2235742B1 (en) 2020-02-12
KR101591114B1 (ko) 2016-02-03
TWI558655B (zh) 2016-11-21
EP2235742A2 (en) 2010-10-06
JP6280143B2 (ja) 2018-02-14
WO2009080615A2 (en) 2009-07-02
US20100267241A1 (en) 2010-10-21
JP2011506119A (ja) 2011-03-03
RU2010130570A (ru) 2012-01-27
TW200944471A (en) 2009-11-01
KR20100099313A (ko) 2010-09-10
JP2016086188A (ja) 2016-05-19
WO2009080615A3 (en) 2009-08-27
CN101925983A (zh) 2010-12-22

Similar Documents

Publication Publication Date Title
CN104979188A (zh) 用于生产微机电系统的方法
US5658472A (en) Method for producing deep vertical structures in silicon substrates
EP1766665B1 (en) Improved method for the etching of microstructures
US20080023441A1 (en) Method of deep etching
US9786526B2 (en) Selectivity in a xenon difluoride etch process
JP2011528182A (ja) エッチされた物品の製造方法
JP2002500574A (ja) ミクロメカニカルデバイスを製造する方法と装置
KR100565032B1 (ko) 실리콘계 구조체의 제조장치 및 제조방법
US20060128158A1 (en) Micro-structure manufacturing method
JP2018141146A (ja) ドライエッチング剤、ドライエッチング方法及び半導体装置の製造方法
Docker et al. A dry single-step process for the manufacture of released MEMS structures
US7105447B2 (en) Etching method
JP4819894B2 (ja) 基板に親水性トレンチをエッチングするのに適した方法
US6998059B2 (en) Method for manufacturing a silicon sensor and a silicon sensor
US20060219654A1 (en) Silicon substrate comprising positive etching profiles with a defined slope angle, and production method
US10173894B2 (en) Selectivity in a xenon difluoride etch process
EP2549526A1 (en) Process for the production of etched items using fluorosubstituted compounds
WO2015078749A1 (en) Etching process
Paul et al. Fabrication of micromechanical structures in silicon using SF6/O2 gas mixtures
WO2022085520A1 (ja) エッチング方法及び半導体素子の製造方法
EP2549525A1 (en) Process for the production of etched items using CHF3
JP2006332359A (ja) 基板のエッチング方法
Starzynski et al. ETCH RATES AND ETCH SELECTIVITIES OF p* DOPED SILICON, UNDOPED SILICON AND DIELECTRIC FILMS IN KOH-ETHYLENE GLYCOL-WATER SOLUTIONS

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20151014