KR101591114B1 - 미세 전자기계 시스템의 제조 방법 - Google Patents

미세 전자기계 시스템의 제조 방법 Download PDF

Info

Publication number
KR101591114B1
KR101591114B1 KR1020107016208A KR20107016208A KR101591114B1 KR 101591114 B1 KR101591114 B1 KR 101591114B1 KR 1020107016208 A KR1020107016208 A KR 1020107016208A KR 20107016208 A KR20107016208 A KR 20107016208A KR 101591114 B1 KR101591114 B1 KR 101591114B1
Authority
KR
South Korea
Prior art keywords
vol
gas
etching
mixture
argon
Prior art date
Application number
KR1020107016208A
Other languages
English (en)
Other versions
KR20100099313A (ko
Inventor
마르켈로 리바
Original Assignee
솔베이 플루오르 게엠베하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 솔베이 플루오르 게엠베하 filed Critical 솔베이 플루오르 게엠베하
Publication of KR20100099313A publication Critical patent/KR20100099313A/ko
Application granted granted Critical
Publication of KR101591114B1 publication Critical patent/KR101591114B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00523Etching material
    • B81C1/00531Dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)
  • Surface Treatment Of Glass (AREA)

Abstract

불소 원소 및 카보닐 플루오라이드는 미세 전자기계 소자('MEMS')의 제조에 적합한 식각제들이다. 이들은 바람직하게 질소 및 아르곤과 함께 혼합물로서 적용된다. 보쉬형 공정에 적용되는 경우에, 매우 적합한 부동태화 가스로는 C4F6가 있다.

Description

미세 전자기계 시스템의 제조 방법{PROCESS FOR THE PRODUCTION OF MICROELECTROMECHANICAL SYSTEMS}
본 발명은 집적회로의 제조에서 반도체 웨이퍼 구조체 상의 회로 패턴 식각에 관한 것으로, 특히 미세 전자기계 시스템("MEMS")의 제조에서 구조체 내에 딥 트렌치를 식각하는 것에 관한 것이다.
MEMS는 크기가 대체로 마이크로미터 내지 밀리미터 범위의 초소형 제품들(예컨대, 기계)의 기술에 관여한다. 이 기술이 존재하기 오래전에 초소형 기계들의 잠재성이 인정되었다. 일반적인 응용으로는, 압전 또는 가열 버블 분사(thermal bubble ejection)로 작동하는 잉크젯 프린터, 차량의 가속도계(예컨대, 충돌시 에어백을 팽창시키기 위함), 자이로스코프, 실리콘 압력 센서(예컨대, 자동차 타이어 감시 또는 혈압 감시를 위한 것임), 광 스위칭 기술 또는 의학 및 건강에 관련된 기술들에서의 바이오-MEMS 응용들이 포함된다. 전자기계 회로는, 센서가 주변환경으로부터 기계적, 열적, 생물학적, 화학적, 광학적 또는 자기(magnetic) 현상들을 측정함으로써 수집한 정보를 처리한다.
국제특허출원 WO88/08930(= 미국특허 5,047,115)에는 SF6 또는 NF3을 이용하여 딥 트렌치를 실리콘 내에 식각하는 공정이 개시되어 있다. 이 공정은 MEMS의 매우 중요한 분야인 자기 기록 헤드의 제조 분야에 아주 적합하다.
유럽특허출원 EP-A-0 200 951에는 NF3 또는 SF6, N2 및 성막(부동태화(passivating)) 가스(예컨대 CHF3)의 혼합물을 적용하여 실리콘을 고속 이방성 식각하는 방법이 개시되어 있다.
영국특허 GB 2 290 413에는, 불소를 공급하는 가스(예컨대, SF6 또는 NF3) 또는 테플론(RTM)을 형성하는 부동태화 가스(예컨대, CHF3, C2F6, C2F4 또는 C4F8)를 사용하여 제1 식각 단계에서 트렌치를 생성함으로써 실리콘 구조체를 처리하는 방법이 개시되어 있다. 그 다음에는, 추가적 등방성 플라즈마 식각 단계에 의해 언더에칭이 발생한다. 이렇게 제조된 구조체는 가속 센서로서 사용될 수 있다.
미국특허출원공개 US 2003/0189024에는 실리콘에 개구를 교대로 식각하고, 균일한(conformal) (부동태화) 탄화불소 중합체를 측벽들 상에 증착시킴으로써 다양한 형상의 개구들을 제공하는 방법이 개시되어 있다. 공지된 등방성 식각제로서, HBr과 헬륨-산소, 그리고 선택적으로 SF6가 언급되었다.
미국특허출원공개 US 2005/0029221에는 2단계 공정에 걸친 딥 트렌치 식각 공정이 개시되어 있다. 제1 단계는 경사 프로파일(tapered profile)을 갖는 경사형 트렌치를 식각하는 것을 포함한다. 이러한 제1 단계에서는 바람직한 식각제로서 HBr/CF4가 사용되었다. 그런 후, SF6/HBr/O2를 적용시켜 직선-프로파일 딥 트렌치를 제공하기 위해, 등방성 식각 단계에서 경사형 트렌치를 고밀도 플라즈마에 의해 트리밍 하였다.
본 발명의 목적은 미세 전자기계 시스템을 제조하기 위한 개선된 방법을 제공하는 데 있다. 본 발명의 다른 목적은 본 발명의 방법에 응용하기에 적합한 신규의 식각 가스 혼합물을 제공하는 데 있다. 본 발명의 이들 및 기타 목적들은 하기에 개략적으로 설명된 바와 같은 방법 및 가스 혼합물에 의해 달성된다.
본 발명의 제1 양태에 따라, 구조체로부터 미세 전자기계 시스템("MEMS")을 제조하는 방법이 제공되며, 이는 불소 원소 또는 카보닐 플루오라이드(COF2) 또는 이 둘의 혼합물을 함유하는 식각 가스를 적용하여 구조체를 식각하는 단계를 포함한다. 바람직하게는, 식각 단계에서 동시에, 또는 식각 이후의 추가 단계에서, 구조체를 부동태화 가스로 처리한다. 식각 단계와 부동태화 단계가 별도의 단계에서 수행되면, 다수의 식각 단계 및 부동태화 단계가 연속적으로 수행되는 것이 바람직하다. 부동태화 가스는 바람직하게 불소-함유 또는 플루오라이드-함유 부동태 층을 형성하는 화합물 중에서 선택된다. 바람직하게, 부동태화제(passivating agent)는, 플라즈마 내에서,플루오로폴리머를 제공하는 유기 화합물 중에서 선택되며; 이러한 부동태화제는 선택적으로 수소 또는 수소-방출 가스의 존재 하에 적용된다.
바람직하게는, 하이포플루오라이트(hypofluorite), 플루오로퍼옥사이드 및/또는 플루오로트리옥사이드 중 어느 것도 반응기에 도입되거나 반응기 내에서 의도적으로 형성되지 않는다. 또한 가스 혼합물에는 CN 결합 및 수소를 함유하는 화합물이 함유되어 있지 않다. 바람직하게, 식각 대상물에는 WC(텅스텐 카바이드) 또는 그의 합금이 함유되어 있지 않다.
본 발명에 걸쳐서, "포함하는(comprising)"이란 용어는 "구성되는(consisting of)"의 의미를 포괄한다.
"구조체"는, 예를 들어, 벌크 미세가공에서의 실리콘 웨이퍼이거나, 또는 표면 미세가공에서의 조합체일 수 있다.
본 방법이 열에 의해, 즉 고온(예컨대, 200℃ 이상, 500℃ 이하의 온도에서)에서나, 또는 -110℃까지의 초저온 조건이 이용되는 극저온(cryo) 공정에 따라 수행될 수 있지만, 보쉬(Bosch) 공정을 이용하여 수행되는 것이 가장 좋으며, 이러한 보쉬 공정은 알카텔(Alcatel)사, 어드밴스드 머티리얼스(Advanced Materials)사, 플라즈마썸(Plasma-Therm)사, 또는 서피스 테크놀러지 시스템(Surface Technology Systems)사로부터 입수가능한 플라즈마 반응기(etcher) 내에서 수행될 수 있다. 플라즈마 조건은 마그네트론 또는 극초단파 조사에 의해 유도된다. 본 발명을 플라즈마 식각의 바람직한 구현예 측면에서 더 상세히 설명하기로 한다.
불소 원소 및 카보닐 플루오라이드는 희석제나 추가 화합물을 사용하지 않고 그 자체로 적용될 수 있다. 이들을 비활성 가스로 희석하거나, 또는 산소 및/또는 부동태를 갖는 가스나 증발기체의 존재 하에서 희석하거나, 또는 심지어 부동태화 가스의 존재 하에서 산소 및/또는 비활성 가스로 희석하여 적용시키는 것이 바람직하다. 질소 및 희가스(noble gases)로 구성된 군에서 선택되는 희석제가 바람직하다. 더욱이, 산소, 수소 또는 부동태화 가스와 같은 첨가제를 추후에 기술되는 바와 같이 첨가할 수 있다.
본 발명의 바람직한 제1 구현예에 따르면, 질소, 헬륨 및/또는 아르곤과 불소 원소와의 혼합물이 적용된다. 혼합물은 반응기 내에서 형성될 수 있거나, 또는 반응기로 도입하기 전에 불소 원소와 비활성 가스(들)의 혼합물로 형성된다. 이들 가스가 이러한 예비혼합물(premixed)의 형태로 반응기에 도입되면, 균일한 또는 거의 균일한 혼합물이 반응기 챔버를 통해 제공될 수 있다. 일반적으로, 혼합물 내 불소의 함량은 바람직하게 1 내지 35 vol%이다. 예를 들어, F2와 비활성 가스의 혼합물은 내압병으로부터 공급될 수 있다. 이러한 내압병 내에서 균일한 혼합물이 형성된다.
불소 원소와 질소를 함유하는 혼합물 및 불소 원소와 아르곤을 함유하는 혼합물이 바람직하게 적용되며, 불소 원소, 질소 및 아르곤 모두를 함유하는 혼합물이 특히 바람직하다. 불소 원소와 질소만을 함유하는 혼합물을 적용하는 경우에, 불소 원소의 함량은 바람직하게 1 vol% 이상이다. 불소 원소의 함량은 바람직하게 25 vol% 이하이다. 질소의 함량은 바람직하게 99 vol% 이하이다. 바람직하게, 질소의 함량은 75 vol% 이상이다. 특히 바람직한 구현예에서는, 불소 원소의 함량이 18 내지 22 vol%의 범위에 있다.
불소 원소와 아르곤만을 함유하는 혼합물을 적용하는 경우에, 아르곤의 함량은 바람직하게 50 vol% 이상이다. 바람직하게, 아르곤의 함량은 99 vol% 이하이다. 불소 원소의 함량은 바람직하게 1 vol% 이상이다. 바람직하게, 불소 원소의 함량은 50 vol% 이하, 특히 25 vol% 이하이다.
불소 원소, 질소 및 아르곤 모두를 함유하는 혼합물을 적용하는 경우에, 불소 원소의 함량은 바람직하게 1 vol% 이상이다. 불소 원소의 함량은 바람직하게 25 vol% 이하이다. 아르곤의 함량은 바람직하게 4 vol% 이상이다. 아르곤의 함량은 바람직하게 25 vol% 이하이다. 질소의 함량은 바람직하게 4 vol% 이상이다. 질소의 함량은 바람직하게 75 vol% 이하이다.
불소 원소 및 아르곤의 전체 함량은 바람직하게 50 vol% 이하, 특히 바람직하게는 45 vol% 이하이다. 불소 원소 및 아르곤의 전체 함량은 바람직하게 25 vol% 이상이다.
바람직한 제2 구현예에 따르면, 불소 원소를 함유하지 않고 카보닐 플루오라이드를 함유한 혼합물이 적용된다. 이 구현예는, 불소 원소(전술된 부분 참조) 또는 불소 및 카보닐 플루오라이드(이하 참조)를 함유하는 가스 혼합물을 적용하는 구현예들보다 선호된다.
카보닐 플루오라이드는 매우 융통성 있게 사용될 수 있다. 일반적으로, 다른 가스들 또는 기화된 액체와 함께 적용될 수 있다.
카보닐 플루오라이드는 이방성 식각 또는 등방성 식각에도 또한 사용될 수 있다.
등방성 식각에 사용되는 경우에, 카보닐 플루오라이드는 그 자체로도 적용될 수 있지만, 바람직하게는 다른 불화 유기 가스 또는 증기(예를 들어, 일본특허 10-223614에 기재된 바와 같은 포화 또는 불포화 플루오로에테르 또는 불화 에스테르)와 혼합되어 적용되되, 특히는 질소, 산소 및/또는 희가스와 함께 적용된다.
일반적으로, 혼합물 내의 카보닐 플루오라이드의 함량은 바람직하게 1 vol% 이상이다. 일반적으로, 카보닐 플루오라이드의 함량은 99 vol% 이하이다. 이러한 혼합물은 반응기 내에서 형성될 수 있거나, 또는 바람직하기로는 반응기에 도입하기 이전에 카보닐 플루오라이드와 비활성 가스(들)의 혼합물이 형성된다. 이들 가스가 이러한 예비혼합물(premixed)의 형태로 반응기에 도입되면, 균일한 혼합물이 반응기 챔버를 통해 제공될 수 있다.
카보닐 플루오라이드와 질소를 함유하는 혼합물, 카보닐 플루오라이드와 아르곤을 함유하는 혼합물, 및 카보닐 플루오라이드와, 질소와, 아르곤을 함유하는 혼합물이 유리하게 적용된다. 이들은 수소 또는 수소-방출 가스와 함께 사용될 수 있다. 바람직하게, 이들 혼합물은 또한 산소를 함유한다.
카보닐 플루오라이드와 질소만을 함유하는 혼합물을 적용하는 경우에, 카보닐 플루오라이드의 함량은 바람직하게 1 vol% 이상이다. 카보닐 플루오라이드의 함량은 바람직하게 75 vol% 이하이다. 질소의 함량은 바람직하게 25 vol% 이상이며; 바람직하게 99 vol% 이하이다. 일 구현예에서, 혼합물은 카보닐 플루오라이드 및 질소로 구성된다.
카보닐 플루오라이드와 아르곤만을 함유하는 혼합물을 적용하는 경우에, 아르곤의 함량은 바람직하게 10 vol% 이상이다. 아르곤의 함량은 바람직하게 80 vol% 이하이다. 카보닐 플루오라이드의 함량은 바람직하게 20 vol% 이상이며; 바람직하게 90 vol% 이하이다. 일 구현예에서, 혼합물은 카보닐 플루오라이드 및 아르곤으로 구성된다.
카보닐 플루오라이드, 질소 및 아르곤을 모두를 함유하는 혼합물을 적용하는 것이 매우 바람직하다. 카보닐 플루오라이드의 함량은 바람직하게 1 vol% 이상이다. 카보닐 플루오라이드의 함량은 바람직하게 50 vol% 이하이다. 아르곤의 함량은 바람직하게 5 vol% 이상이다. 바람직하게, 아르곤의 함량은 50 vol% 이하, 특히는 40 vol% 이하이다. 질소의 함량은 바람직하게 1 vol% 이상이며; 바람직하게는 80 vol% 이하이다. 일 구현예에서, 혼합물은 카보닐 플루오라이드, 질소 및 아르곤으로 구성된다.
바람직한 제3 구현예에서, 불소 원소와 카보닐 플루오라이드가 함께 적용된다. 카보닐 플루오라이드에 대한 불소 원소의 몰비는 바람직하게 1:99 내지 99:1의 범위에 속한다. 바람직하게, 불소 원소와 카보닐 플루오라이드의 혼합물은 산소 및/또는 비활성 가스로 희석되며, 바람직하게는 질소나 또는 희가스로 희석된다. 혼합물을 바람직하게는 제1 구현예에서 전술한 바와 같이 구성하며, 단 "불소 원소" 대신에 "불소 원소와 카보닐 플루오라이드의 혼합물"을 사용한다. 불소 원소와 아르곤의 부피의 합이 60 vol% 이하인 것이 바람직하다. 촉매의 존재 하에서, F2와 COF2가 서로 반응함으로써 하이포플루오라이트인 플루오로옥시트리플루오로메탄을 특히 형성할 수 있다는 것을 주목한다. 본 발명의 방법에서, 바람직하게 이러한 반응은 수행되지 않는다. 따라서, 이러한 촉매는 식각 단계가 수행되는 반응기 내에 존재하지 않는다.
일 구현예에서, 선택적으로 질소 및/또는 아르곤을 함유하는, 앞서 언급된 카보닐 플루오라이드의 혼합물 또는 불소 원소 혼합물에는 산소가 또한 함유될 수 있다. 바람직하게, 산소의 함량은 5 vol% 이상이다. 매우 바람직하게, 산소의 함량은 10 vo% 이상이다. 바람직하게, 산소의 함량은 30 vol% 이하이다. 매우 바람직하게, 산소의 함량은 25 vol% 이하이다. 그러면 나머지 구성성분들의 함량은 각각 줄어들게 된다. 예를 들어, 가스 혼합물의 10 vol%가 산소로 구성되어 있다면, 나머지 구성성분들의 함량은 예를 들어 각각 10%만큼 감소된다.
원한다면, 불소 원소, 카보닐 플루오라이드 또는, 선택적으로 질소, 희가스 및/또는 산소를 또한 함유하는, 불소 원소 및 카보닐 플루오라이드의 혼합물을 당해 기술로부터 공지된 식각 가스와 함께 적용시킬 수 있다. 예를 들어, 추가 식각 가스로서 HBr, SF6 또는 NF3과 함께 적용시킬 수 있다. 이 경우, 추가 가스의 함량은 바람직하게 가스 혼합물의 20 중량% 미만이다. 바람직한 구현예에서, 불소 원소, 카보닐 플루오라이드 또는 이들의 혼합물은, 전술된 바와 같이 특히 바람직하게는 아르곤, 산소 및/또는 질소와 함께, 유일한 식각 가스로서 적용된다. 여기서, 아르곤, 산소 및/또는 질소 각각은 전체 100 vol%가 되도록 하는 잔량으로 함유된다.
전술된 혼합물을 이방성 및 등방성 식각에서 MEMS 제조에 적용할 수 있다. 이방성 식각에서, 식각 가스는 측벽에 전혀 또는 거의 영향을 미치지 않고 트렌치의 저부를 식각하여 트렌치를 형성한다. 이방성 식각은 물리적 식각(본질적으로 트렌치의 저부를 식각함)과 화학적 식각(측벽과 저부를 식각함)을 균형 맞춤으로써 유도될 수 있다. 등방성 식각을 원한다면, 측벽 식각을 방지할 필요는 없다. 이방성 식각에서는, 측벽 식각이 바람직하지 않다. 이방성 식각은, 측벽을 보호하는 부동태화 가스를 식각 가스에 포함시키거나, 또는 식각 가스로 순차적 식각을 하고 별도의 단계에서 부동태화 가스를 이용하여 측벽에 부동태 층을 형성함으로써 수행하는 것이 바람직하다.
부동태화 가스를 적용시켜 트렌치 벽에 보호층을 형성함으로써 이들 트렌치 벽이 식각제와 반응하는 것을 방지한다. 부동태화 가스의 성질은 구조체의 종류에 따라 결정된다. 구조체로서의 실리콘에 대해서는, 브롬 공급원(예를 들어, 브롬 원소 또는 HBr)을 부동태화 가스로서 적용할 수 있다. 비휘발성 SiXBrY 화합물로 된 층을 보호층으로서 형성한다. 실리콘과 함께 보호층을 형성하는 기타 공급원으로는 NO, NO2, CO2, CO, N2, O2, CS2 또는 SiCl4와 N2의 조합물이 있다. 바람직하게 부동태화 가스는, 1개 이상의 불소 원자로 치환되는 1 내지 6개의 탄소 원자를 갖는 사이클릭, 선형 또는 분지형 구조의 포화 지방족 화합물 중에서 선택되거나, 또는 1개 이상의 불소 원자로 치환되는 2 내지 6개의 탄소 원자를 갖는 사이클릭, 선형 또는 분지형 구조의 불포화 지방족 화합물 중에서 선택된다. 이들 화합물은 탄소와, 불소와, 선택적으로는 수소로 구성된다. 바람직하게는, 각각의 포화 또는 불포화 화합물의 수소 원자들 중 50% 이상이 불소 원자들로 치환된다. 1 내지 6개의 탄소 원자들을 갖는 포화 수소불화탄소 및 포화 불화탄소, 그리고 2 내지 6개의 탄소 원자들을 갖는 불포화 수소불화탄소 및 불포화 불화탄소가 바람직하다. 부동태화 가스로서 적용될 수 있는 매우 바람직한 화합물로는, c-C4F6, c-C5F8, CH2F2, CHF3, CF4, C2F6, C3F8, C2F4, C4F6 및 C4F8가 있다. 또한 화합물 c-C6F6 및 CF3I도 적합하다. C4F6가 부동태화 가스로서 특히 바람직하다. 전문가는 이들 적합한 화합물 중 일부의 비등점이 상압 하에 20℃를 초과한다는 것을 알고 있다. 이들 화합물에 대해, "가스"란 용어가 "증기"를 의미하는 것으로 이해해야 함을 숙지하고 있다. 이들 화합물이 20℃에서 가스상태로 있는 것은 아니지만, 이들이 더 이상 액체가 아니고 증기 형태로 있을 정도의 낮은 압력에서 본 발명의 방법을 수행하기 때문에, 이들 화합물이 적합하다. 전문가는 또한 이들 증기가 기체처럼 작용한다는 것을 알고 있다.
특히 불화탄소 화합물(탄소와 불소로 구성됨)만이 부동태화 가스로서 적용되는 경우에는, 수소-제공 가스를 가스 혼합물에 함유시키는 것이 바람직할 수 있다. 이렇게 함으로써 식각 단계 동안에 가스 혼합물의 이방성 효과를 향상시킬 수 있게 된다. 어떤 이론으로 구속되고자 함은 아니지만, 수소가 불소 라디탈을 제거(scavenge)함으로써 반응 혼합물에 불화탄소 라디칼을 남긴다는 것이 가능하다고 여겨진다. 불화탄소 라디칼은 양호한 식각제이며, 부동태화 가스의 이방성 효과를 여전히 향상시킴으로써, 수소는 불소 라디칼과 불화탄소 라디칼 사이의 비율의 균형을 맞출 수 있게 해 주는 것으로 보인다. 불소 라디칼에 비해 불화탄소 라디칼의 함량이 더 높으면, 트렌치 벽 상의 보호층과의 반응을 감소시키는 것으로 여겨진다. 수소 원자 또는 수소를 제공하는 화합물(예컨대, 수소-함유 불화탄소, 특히 C1 또는 C2 수소불화탄소, 가장 바람직하게는 디플루오로메탄 및 트리플루오로메탄)이 매우 적합하다. 부동태화 가스는 심지어 더 높은 함량으로 존재할 수 있다. 예를 들어, 가스 혼합물은 부동태화 화합물의 최대 45 vol%까지 함유될 수 있다. 이렇게 되면, 나머지 구성성분 중의 1종 또는 모두의 함량은 앞서 주어진 것보다 낮다.
MEMS 제조에서 단지 부동태화 목적(이방성 식각 효과가 낮거나 아예 없음)으로 바람직한 가스 혼합물로는, 앞서 언급된, 1 내지 6개의 탄소 원자들(포화) 또는 2 내지 6개의 탄소 원자들(불포화)을 가진 지방족 사이클릭, 선형 또는 분지형 구조의 불화탄소 또는 수소불화탄소가 1종 이상 포함된다. 선택적으로, 특히 과불화 화합물이 부동태화 가스로서 적용된 경우에는, 수소 또는 수소-방출 가스(플라즈마에서 가열 조건하에, 특히 200℃ 이상의 온도에서, 수소를 방출하는 가스), 바람직하게는 디플루오로메탄 또는 트리플루오로메탄도 역시 존재한다. 수소 또는 수소-방출 가스가 존재하게 되면, 1 내지 5 vol%의 함량으로 함유되는 것이 바람직하다. 또한, 플라즈마 향상을 위해 아르곤을 포함할 수 있다.
MEMS 식각을 위한 공정은 주로 두 가지 대안으로 수행될 수 있다: 첫째 대안은, 구조체를 식각 가스 및 부동태화 가스로 동시에 처리하거나, 또는 일 단계에서 식각 가스로 처리하고 다른 단계에서 부동태화 가스로 처리하는 방법; 둘째 대안은, 식각 및 부동태화를 순차적으로 수행하는 "보쉬" 공정이다. "보쉬"형 공정이 바람직하다. 물론, 원하는 결과(예컨대, 트렌치 깊이)를 얻을 때까지 처리 시간을 연장함으로써 1회성 처리로서 수행될 수 있다. 특히 식각 및 부동태화가 별도로 수행되는 경우에는, 원하는 결과를 얻을 때까지 식각 단계 및 부동태화 단계를 순차적으로 수차례 반복한다. 식각 및 부동태화를 순차적으로 수행하는 것이 기술적으로 유리하지만, 식각 가스와 부동태화 가스가 원하지 않는 방식으로 서로 반응할 거라 예상될 시에는 이러한 순차적 수행이 강제적이 될 수 있다.
예를 들어, 불소 원소를 식각 가스로서 적용하고, 불포화 불화탄소 또는 포화/불포화 수소불화탄소를 부동태화 가스로 적용시키고자 한다면, 식각 및 부동태화를 별도의 순차적 단계에서 수행하는 것이 매우 권장할 만하다. 비록 불소 원자와 부동태화 가스 사이에 아무런 부반응(side reaction)이 없을 것으로 예상되지만, 그래도 보쉬형 공정이 동시적 식각 및 부동태화에 비해 더 신속하다는 이유로 유리하다.
원하지 않는 반응이 일어나지 않을 것이기 때문에, 선택적으로는 질소 또는 희가스, 예컨대 아르곤 또는 수소-방출 가스와의 혼합물 형태로, 카보닐 플루오라이드 및 C4F6를 별도의 단계 또는 단일 동시적 식각/부동태화 단계에서 함께 적용시킬 수 있다. 아무런 유해 반응이 예상되지 않으므로(그럼에도, 더 빠른 반응 속도가 가능하기 때문에 순차적 적용이 유리할 수 있음) 불소 원소 및 과불화탄소 또한 동시에 적용시킬 수 있는 반면에, 불소 원소 및 C4F6는 별도의 식각 단계 및 부동태화 단계에서 적용되어야 하는데, 그 이유는 이들을 질소 및/또는 희가스로 희석할지라도 불소 원소와 C4F6 사이에 추가 반응이 일어날 수 있기 때문이다.
식각 및 부동태화를 별도의 단계에서 수행하는 경우에는, 전술된 식각 혼합물을 이용하여 식각 단계를 수행할 수 있다. 그런 후에는 앞서 언급한 부동태화 가스를 이용하여 부동태화를 수행할 수 있다.
원한다면, 식각 및 부동태화에 의해 트렌치를 형성하고나서, 추가적 단계(또는 다수 단계)를 수행하여, GB 2 290 413에 기술된 바와 같이 언더에칭을 이룰 수 있다. 이 단계에서는, 식각 가스만 적용시키는 것이 바람직하다.
플라즈마 처리 동안 구조체의 온도는 일반적으로 20℃ 내지 100℃의 범위 내에 유지되지만, 더 높을 수도 있다. 플라즈마 처리 동안의 압력은 바람직하게 1.5 x 10-2 mbar 내지 15 mbar이다. 바람직하게, 이러한 압력은 1 x 10-1 mbar 이상이다. 바람직하게 1.5 mbar 이하이다.
구조체는 다양한 형태를 가질 수 있지만, 웨이퍼 형상으로 있는 것이 바람직하다. 바람직하게, 이 구조체는 실리콘 웨이퍼이다.
식각 대상물(예컨대, 실리콘 웨이퍼)의 전체 두께를 이용하여 미세역학 구조체를 만드는 벌크 미세가공 기법에 따라, 식각 단계를 수행할 수 있다. 대안으로는, 코팅재를 가하여 층들을 형성하고, 이들 층을 선택적으로 식각하는 표면 미세가공 기법에 따라, 식각 단계를 수행할 수 있다. 식각 공정은 일반적으로 딥 반응성 이온 식각(deep reactive ion etching) 기법에 사용될 수 있다.
본 발명에 따른 방법은 미세 전자기계 시스템(예를 들어, 가속도 센서, 자기 기록 헤드, 잉크젯 프린터, 자이로스코프 및 전술된 기타 제품들)을 위한 반도체 제조에 적용될 수 있다.
본 발명의 방법에 유용한 특정 가스 혼합물들은 신규한 것이므로, 본 발명의 일 양상이기도 하고, 그 자체로 요구된다. 본 발명의 이러한 양상에서도 역시 "포함하는"이란 용어는 "구성되는"의 의미를 포괄한다. 이들 가스 혼합물에는 어떠한 추가적 하이포플루오라이트, 플루오로퍼옥사이드 및/또는 플루오로트리옥사이드가 함유되어 있지 않으며, 바람직하게 가스 혼합물에는 하이포플루오라이트, 플루오로퍼옥사이드 및/또는 플루오로트리옥사이드가 본질적으로 함유되어 있지 않다. "본질적으로"란 용어는 이들 화합물 중 임의의 것의 함량이 1 vol% 미만, 바람직하게는 0 vol%라는 것을 나타낸다. 또한 이들 가스 혼합물에는 CN 결합 및 수소를 함유하는 화합물이 포함되지 않는다.
하기의 단락들에서 상술되는 가스 혼합물은, 앞서 언급된 바와 같이, 그 자체로 요구된다. 이들은 가스 상태, 액체 상태, 고체 상태 또는 심지어 초임계 상태로 있을 수 있다. 그 자체로 요구되는 가스 혼합물은 바람직하게 액체 상태로 존재한다. 예를 들어, 이들은 액체 상태로 내압 용기(pressurized container)(예컨대, 병)에 보관될 수 있다. 물론, 액체 상부에는, 그 액체 및 용기의 부피에 따라 결정되는 특정 양의 가스 분위기가 존재하게 된다.
본 양상의 일 구현예는 불소 원소 및 카보닐 플루오라이드를 함유하는 혼합물에 관한 것이다. 본 발명에 따른 혼합물은 바람직하게 불소 원소 및 카보닐 플루오라이드를 1:99 내지 99:1의 몰비로 함유한다. 불소 원소 및 카보닐 플루오라이드의 몰비는 바람직하게 5:95 이상이다. 바람직하게, 이러한 몰비는 95:5 이하이다. 불소 원소 및 카보닐 플루오라이드가 몰 동량으로 구성되는 혼합물들은 배제되는 것이 바람직하다.
불소 원소와 카보닐 플루오라이드의 혼합물은 산소, 희가스, 질소를 더 함유할 수 있다. 희가스는 바람직하게 헬륨 및 아르곤 중에서 선택되며, 아르곤이 특히 바람직하다. 이들 혼합물은 MEMS 식각용으로 특히 적합하다. 선택적으로, 이들 혼합물은 또한 부동태화 가스를 함유할 수 있으며, 바람직하게는 전술된 바와 같은 부동태화 가스를 함유할 수 있다. 부동태화 가스는 불소 원소와 반응해서는 안되므로, 과불소 화합물이 매우 적합하다.
불소 원소, 카보닐 플루오라이드, 선택적으로 산소, 아르곤, 및/또는 질소, 그리고 부동태화 가스(함유된 경우)의 함량들을 상기에 제공하였다.
그 자체로 요구되는, 불소 원소와 카보닐 플루오라이드 혼합물은 가스 상태, 액체 상태, 고체 상태 또는 심지어 초임계 상태로 있을 수 있다. 바람직하게 가스 혼합물은 액체 상태로 존재한다. 예를 들어, 이들은 액체 상태로 내압병에 보관될 수 있다.
본 발명에 이러한 양상의 또 다른 구현예는 카보닐 플루오라이드, 질소 및/또는 희가스, 선택적으로 부동태화 가스, 그리고 선택적으로 수소 또는 수소-방출 가스를 함유하는 혼합물에 관한 것이다. 바람직하게, 희가스는 헬륨 및 아르곤 중에서 선택되며, 아르곤이 특히 바람직하다.
바람직한 일 구현예에 따르면, 혼합물은 카보닐 플루오라이드 및 질소로 구성되거나, 또는 카보닐 플루오라이드 및 아르곤으로 구성되며, 이들 모두의 대안에서 산소가 또한 선택적으로 함유된다. 카보닐 플루오라이드와 질소만을 함유하는 혼합물에서, 카보닐 플루오라이드의 함량은 바람직하게 1 vol% 이상이다. 카보닐 플루오라이드의 함량은 바람직하게 75 vol% 이하이다. 질소의 함량은 바람직하게 25 vol% 이상이고; 바람직하게 99 vol% 이하이다. 일 구현예에서, 혼합물은 카보닐 플루오라이드 및 질소로 구성된다.
카보닐 플루오라이드 및 아르곤만을 함유하는 혼합물에서, 아르곤의 함량은 바람직하게 10 vol% 이상이다. 바람직하게, 아르곤의 함량은 80 vol% 이하이다. 카보닐 플루오라이드의 함량은 바람직하게 20 vol% 이상이고; 바람직하게 90 vol% 이하이다. 일 구현예에서, 혼합물은 카보닐 플루오라이드 및 아르곤으로 구성된다.
카보닐 플루오라이드, 질소 및 아르곤 모두를 함유하는 혼합물이 특히 바람직하다. 카보닐 플루오라이드의 함량은 바람직하게 1 vol% 이상이다. 카보닐 플루오라이드의 함량은 바람직하게 50 vol% 이하이다. 아르곤의 함량은 바람직하게 5 vol% 이상이다. 바람직하게, 아르곤의 함량은 50 vol% 이하이며; 특히 아르곤의 함량은 40 vol% 이하이다. 질소의 함량은 바람직하게 1 vol% 이상이며; 바람직하게 80 vol% 이하이다. 일 구현예에서, 혼합물은 카보닐 플루오라이드, 질소 및 아르곤으로 구성된다.
이러한 혼합물은 또한 산소, 수소, 수소-방출 가스 및/또는 부동태화 가스를 함유할 수 있다. 이에 대한 상세한 사항은 앞서 설명되어 있다.
그 자체로 요구되는, 카보닐 플루오라이드, 질소 및/또는 희가스와 선택적으로 부동태화 가스 또는 수소의 혼합물은 가스 상태, 액체 상태, 고체 상태 또는 심지어 초임계 상태로 있을 수 있다. 바람직하게 가스 혼합물은 액체 상태로 존재한다. 예를 들어, 이들은 액체 상태로 내압병에 보관될 수 있다.
본 발명의 혼합물들은, 예를 들어, 본 발명에 따른 방법을 수행하는데 있어서 뿐만 아니라 기타 식각 공정용으로, 예를 들어, 반도체 제조, 평면 디스플레이 제조 또는 웨이퍼 세척용으로도 적합하다.
본 발명에 따른 이러한 양상의 또 다른 구현예는 카보닐 플루오라이드 및 부동태화 가스, 그리고 선택적으로 질소, 희가스 및/또는 수소-방출 가스를 또한 함유하는 혼합물에 관한 것이다. 이들 혼합물은 식각 및 부동태화를 동시에 수행하는 경우에 적합하다. 아르곤이 존재하는 것이 매우 바람직하다.
카보닐 플루오라이드, 부동태화제, 아르곤 및 선택적으로 질소, 수소 또는 수소-방출 가스를 함유하는 혼합물에서, 카보닐 플루오라이드의 함량은 바람직하게 15 vol% 이상이며, 매우 바람직하게는 20 vol% 이상이다. 바람직하게, 카보닐 플루오라이드의 함량은 60 vol% 이하이다. 부동태화 가스의 함량은 바람직하게 10 vol% 이상이며, 매우 바람직하게는 15 vol% 이상이다. 부동태화 가스의 함량은 바람직하게 50 vol% 이하이며, 매우 바람직하게는 45 vol% 이하이다. 아르곤의 함량은 바람직하게 20 vol% 이상이며, 매우 바람직하게는 25 vol% 이상이다. 바람직하게, 아르곤의 함량은 50 vol% 이하이며, 매우 바람직하게는 40 vol% 이하이다. 질소가 함유되는 경우에, 그 함량은 바람직하게 1 내지 10 vol%의 범위 내에 속한다. 수소 또는 수소-방출 가스가 함유되는 경우에, 그 함량은 바람직하게 2 vol% 이상이다. 바람직하게, 수소 또는 수소-방출 가스의 함량은 15 vol% 이하이다.
바람직하게, "부동태화 가스"란 용어는 N2, CO2, CO, CHF2Cl, 02 또는 CO를 제외한 무기 또는 유기 화합물을 가리키며; 적합한 부동태화 가스는, 열적 조건(200℃ 이상) 또는 플라즈마 조건 하에서 실리콘과 반응하여 저휘발성의 실리콘 화합물을 형성하는 화합물이거나, 또는 부동태 층을 형성함으로써 식각에 맞서 구조체를 보호하는 화합물이다. 특히 바람직하게, "부동태화 가스"란 용어는 1개 이상의 불소 원자로 치환되는 1 내지 6개의 탄소 원자들을 갖는 사이클릭, 선형 또는 분지형 구조의 포화 지방족 화합물 중에서, 또는 하나 이상의 불소 원자로 치환되는 2 내지 6개의 탄소 원자들을 갖는 사이클릭, 선형 또는 분지형 구조의 불포화 지방족 화합물 중에서 선택되는 유기 화합물 1종 이상을 가리킨다. 이들 화합물은 탄소 및 불소, 그리고 선택적으로 수소로 구성된다. 바람직하게, 포화 또는 불포화 화합물 각각의 수소 원자들의 50% 이상이 불소 원자들로 치환된다. 1 내지 5개의 탄소 원자들을 갖는 포화 수소불화탄소 및 포화 불화탄소; 그리고 2 내지 5개의 탄소 원자들을 갖는 불포화 수소불화탄소 및 불화탄소가 바람직하다. 부동태화 가스로서 함유되는 매우 바람직한 화합물로는, c-C4F6, c-C5F8, CH2F2, CHF3, CF4, C2F6, C3F8, C2F4, C4F6 및 C4F8가 있다. C4F6가 부동태화 가스로서 특히 바람직하다. 또한 화합물 c-C6F6 및 CF3I도 적합하다. 카보닐 플루오라이드와 부동태화 가스의 혼합물은 질소, 산소 및/또는 희가스를 더 함유할 수 있다. 부동태화 가스가 과불화탄소 화합물인 조건에서는, 심지어 불소 원자도 함유될 수 있다.
여전히 더 바람직하게, 혼합물은 카보닐 플루오라이드, C4F6 및 아르곤, 그리고 선택적으로 수소 또는 수소-방출 가스를 함유할 수 있다.
그 자체로 요구되는, 카보닐 플루오라이드와 부동태화 가스의 혼합물은 가스 상태, 액체 상태, 고체 상태 또는 심지어 초임계 상태로 있을 수 있다. 바람직하게 가스 혼합물은 액체 상태로 존재한다. 예를 들어, 이들은 액체 상태로 내압병에 보관될 수 있다.
부동태화 가스를 함유하는 이들 가스 혼합물은 특히 식각 단계, 부동태화 단계 또는 식각 및 부동태화 단계의 조합용으로 각각 적합하다.
마지막으로, 본 발명의 또 다른 양상은 불소 원소, 질소 및 아르곤, 그리고 선택적으로 산소로 구성된 식각 가스 혼합물이다. 이 가스 혼합물에는 불소 원소가 10 vol% 이상 함유된다. 가스 혼합물에는 불소 원소가 25 vol% 이하, 바람직하게는 25 vol% 미만으로 함유된다. 가스 혼합물에는 아르곤이 5 vol% 이상 함유된다. 가스 혼합물에는 아르곤이 15 vol% 이하 함유된다. 가스 혼합물에는 질소가 65 vol% 이상 함유된다. 가스 혼합물에는 질소가 80 vol% 이하 함유된다. 이러한 혼합물은 MEMS 식각용으로 매우 적합하다. 산소가 함유되는 경우에는, 2 내지 15 vol%의 범위 내로 존재하는 것이 바람직하다. 나머지 구성성분들(불소 원소, 질소, 아르곤) 중 1종 이상의 함량을 더 낮춤으로써 구성성분들의 합계가 100 vol%가 되도록 할 수 있다.
그 자체로 요구되는, 불소 원소, 질소 및 아르곤, 그리고 선택적으로 산소의 혼합물은 가스 상태, 액체 상태, 고체 상태 또는 심지어 초임계 상태로 있을 수 있다. 바람직하게 가스 혼합물은 액체 상태로 존재한다. 예를 들어, 이들은 액체 상태로 내압병에 보관될 수 있다.
불소 원소가 SF6보다 더 효과적이라는 것이 밝혀졌으며, 불소 원소 및 카보닐 플루오라이드 둘 다는 낮은 GWP(지구 온난화 지수)를 가지고 있다.
본 발명을 하기 실시예들에 의해 좀더 상세히 설명하기로 한다.
실시예들
실시예 1: MEMS 제조에서 실리콘 식각에 적합한 혼합물
전반적인 절차: 가스 형태로 있는 상이한 구성성분들을 각각의 저장병으로부터 스테인레스강 용기로 옮긴 후 이들을 가스 상태로 저장하였다. 각각의 가스들을 저장하는 동안 부피를 조절함으로써, 표 1에 주어진 가스들을 적당량으로 함유하는 가스 혼합물을 제조하였다.
식각 가스 혼합물(vol% 단위로 함량을 표시함)
실시예 F2 COF2 N2 Ar O2
1.1 20 70 10 --
1.2 -- 70 -- 20 10
1.3 5 65 20 10 --
1.4* 5 55 20 10
* 불소 원소와 질소는 1:4 v/v 혼합물로 첨가되었음.
부동태화 가스 혼합물(vol% 단위로 함량을 표시함)
실시예 C4F6 Ar H2 CHF3
1.5 60 40 -- --
1.6 50 40 -- 10
1.7 50 40 10 --
1.8 40 40 10 10
동시적 식각 및 부동태화에 적합한 가스 혼합물(vol% 단위로 함량을 표시함)
실시예 COF2 부동태화제 Ar 기타
2.1 35 C4F6 1 ): 35
30 --
2.2 40 C4F6 1 ): 20
30 CHF3: 10
2.3 40 C4F6 1 ): 20
30 H2: 10
2.4 25 C3F8: 40
30 F2: 5
1)헥사플루오로부타디엔, 독일 한노베르 소재, 솔베이 플루오르 게엠베하사로부터 Sifren®으로 입수가능함
실시예 3: 순차적 식각 부동태화(벌크 미세가공)에 의한 MEMS 소자의 제조
포토레지스트 래커(photoresist lacquer)를 MEMS 소자용 실리콘 웨이퍼에 도포시켰다. 원하는 트렌치들을 포함하는 원하는 구조체에 따라 포토레지스트 래커를 빛에 일부 노출한 후에, 래커의 비노출(non-exposed) 부분들을 제거하였다. 그런 후에는 실리콘 웨이퍼를 플라즈마 챔버 내에 투입하였다. 불소 원소 20 vol%, 질소 70 vol% 및 아르곤 10 vol%로 구성된 실시예 1.1에 따른, 예비혼합된 식각 혼합물을 대략 0.2 mbar의 압력에서 챔버 내로 도입하고, 플라즈마 조건이 개시되도록 극초단파 조사를 시작하였다. 포토레지스트로 도포되지 않은 영역들 내의 실리콘을 등방적으로 식각함으로써 실리콘 내에 트렌치를 형성하였다. 대략 20μm의 폭을 갖는 트렌치를 형성한 후에, 반응기로부터 식각 가스를 제거하고, C4F6 60 vol% 및 아르곤 40 vol%로 구성된 실시예 1.5에 따른 부동태화 가스를 반응기 내부로 도입하고, 플라즈마가 개시되도록 극초단파 조사를 시작하였다. 반응기 내부로 도입된 헥사플루오로부타디엔은 본질적으로 실리콘 내에 형성된 트렌치들의 벽에 플루오로폴리머 피막을 형성하는 한편, 아르곤은 플라즈마를 안정화시킨다. 원하는 두께의 피막을 벽에 형성한 후에, 부동태화 가스를 제거하고, 새로운 식각 가스를 반응기 내부로 도입하였다. 이어서, 실리콘층을 다시 등방적으로 식각함으로써 첫 번째 식각 단계에서 형성된 트렌치가 더 깊어지게 하였다. 부동태 층은 트렌치의 벽을 보호한다. 트렌치에 대한 원하는 추가 깊이를 달성하면, 식각을 끝내고 식각 가스를 플라즈마 반응기로부터 제거하였다. 다시 한번, 부동태화 가스를 도입하고, 별도의 부동태화 단계를 수행하였다. 그 후, 부동태화 가스를 제거하고, 이방성 식각을 계속 수행하였다. 원하는 깊이의 트렌치가 형성될 때까지 식각 및 부동태화를 순차적으로 수행하였다. 식각된 웨이퍼를 챔버로부터 제거할 수 있었다.
실시예 4: 카보닐 플루오라이드 식각제(벌크 미세가공)를 이용한 MEMS 소자 제조
이산화규소 및 포토레지스트 래커를 모노결정질 실리콘 재질의 웨이퍼에 도포시켰다. 그런 후에는 웨이퍼를 실시예 3에 기술된 바와 같이 처리하되, 실시예 1.5에 따른 식각제 혼합물을 사용하였다.
실시예 5: 동시적 식각 부동태화(벌크 미세가공)에 의한 MEMS 소자의 제조
이산화규소 재질의 유전층을 실리콘 웨이퍼에 도포시키고, 유전층은 포토레지스트 래커로 도포시켰다. 원하는 트렌치들을 포함하는 원하는 구조체에 따라 포토레지스트 래커를 빛에 일부 노출한 후에, 래커의 비노출 부분들을 제거하였다. 그런 후에는 실리콘 웨이퍼를 플라즈마 챔버 내에 투입하였다. 카보닐 플루오라이드 원소 35 vol%, 아르곤 30 vol% 및 C4F6 35 vol%로 구성된 실시예 2.1에 따른 가스 혼합물을 대략 0.2 mbar의 압력에서 챔버 내로 도입하고, 플라즈마 조건이 개시되도록 극초단파 조사를 시작하였다. 포토레지스트로 도포되지 않은 영역들 내의 이산화규소를 식각하였다. 식각 도중에 트렌치가 형성되었다. 동시에, 플루오로폴리머 부동태 층이 트렌치의 벽에 형성되었다. 원하는 깊이의 트렌치를 얻을 때까지 이러한 처리를 계속하였다. 반응기로부터 식각/부동태화 가스를 제거하고, 식각된 실리콘 웨이퍼를 챔버로부터 제거할 수 있었다
실시예 6: 수소-방출 가스(벌크 미세가공)를 함유한 가스 혼합물이 사용되는 동시적 식각 부동태화에 의한 MEMS 소자의 제조
카보닐 플루오라이드 40 vol%, C4F6 20 vol%, 아르곤 30 vol% 및 CHF3 10 vol%로 구성된 실시예 2.2에 따른 가스 혼합물을 이용하여 실시예 5를 반복하였다.
실시예 7: MEMS 소자의 제조(표면 미세가공)
제조 대상 MEMS의 기재는 원형의 실리콘 웨이퍼 디스크로 형성된다. 우선, 저압력(LP) CVD(화학기상증착) 공정을 통해 웨이퍼 상에 실리콘-질화물층을 형성하였다. 그런 후에는, 이산화규소 재질의 희생층을 다시 한번 LPCVD를 통해 증착시켰다. 이러한 희생층으로 인해 MEMS 소자상에서 빔(beam)이 자유롭게 움직이게 허용된다. 리쏘그래피 기법을 적용하여, 예컨대 HF/NH4F를 이용한 습식 식각에 의해 희생층을 개방시킴으로써 의도된 빔이 고정되도록 하였다. 다음으로는, LPCVD를 통해 폴리실리콘층을 증착시켰다. 폴리실리콘층으로 한정된 구조체의 일부가 아닌 층 부분들을 제거하기 위해, 리쏘그래피 마스크를 적용하였으며, 이어서 표 1의 식각 가스(예컨대, 실시예 1.1의 가스 혼합물)를 플라즈마 챔버로 가하여, 웨이퍼 상에 있는 폴리실리콘층 중 원하지 않는 부분들을 제거하였다. 그 후, 또 다른 층(예컨대, 구조 재료로 사용되는 에폭시계 수지인 포토레지스트 SU8)을 적용하였다. SU8 및 이를 적용하는 방법에 관한 상세설명을 htt://www.geocities.com/guerinlj/?200720에서 찾아볼 수 있다.
MEMS를 가열하기 위한 수단으로 금을 리프트-오프(lift-off) 공정에서 적용하고, 리쏘그래피를 이용한 전자빔 증착법(electronic-beam evaporation)에 의해 증착시켜 SU8층 중에서 원하지 않는 부분들과 그 위에 증착된 금을 함께 제거함으로써, 원하는 금 구조체만이 남도록 하였다. 예컨대 앞서 언급한 습식 식각 혼합물을 이용하여 희생층을 제거하였다. 그리고는, 빔을 방출하고, 구조체를 완성시켰다.

Claims (16)

  1. 불소(F2), 질소(N2), 및 아르곤(Ar)을 함유하는 식각 가스를 적용하여 실리콘 웨이퍼를 식각하는 단계를 포함하며,
    실리콘 웨이퍼의 식각된 표면의 적어도 일부에 부동태를 제공하기 위해 C4F6를 함유하는 부동태화 가스를 적용하는 부동태화 단계를 포함하고,
    식각 단계와 부동태화 단계가 하나씩 순차적으로 수행되는 것인, 실리콘 웨이퍼로부터 미세 전자기계 시스템("MEMS")을 제조하는 방법.
  2. 제1항에 있어서, 식각 가스가 산소를 추가로 함유하는 것인, 실리콘 웨이퍼로부터 미세 전자기계 시스템을 제조하는 방법.
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 불소(F2), 질소(N2) 및 아르곤(Ar)으로 구성되며, 전체 함량이 100 vol%가 되도록, 10 vol% 이상, 25 vol% 이하의 불소(F2); 5 vol% 이상, 15 vol% 이하의 아르곤(Ar); 65 vol% 이상, 80 vol% 이하의 질소(N2)를 함유하는, 반도체 웨이퍼 식각용 가스 혼합물.
  15. 제14항에 있어서, 전체 함량이 100 vol%가 되도록, 2 내지 15 vol% 범위 내의 산소(O2)를 추가로 함유하는, 반도체 웨이퍼 식각용 가스 혼합물.
  16. 삭제
KR1020107016208A 2007-12-21 2008-12-16 미세 전자기계 시스템의 제조 방법 KR101591114B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP07150296 2007-12-21
EP07150296.7 2007-12-21

Publications (2)

Publication Number Publication Date
KR20100099313A KR20100099313A (ko) 2010-09-10
KR101591114B1 true KR101591114B1 (ko) 2016-02-03

Family

ID=39358516

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107016208A KR101591114B1 (ko) 2007-12-21 2008-12-16 미세 전자기계 시스템의 제조 방법

Country Status (8)

Country Link
US (1) US8524112B2 (ko)
EP (1) EP2235742B1 (ko)
JP (2) JP2011506119A (ko)
KR (1) KR101591114B1 (ko)
CN (2) CN104979188A (ko)
RU (1) RU2010130570A (ko)
TW (1) TWI558655B (ko)
WO (1) WO2009080615A2 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105789378A (zh) 2008-01-23 2016-07-20 苏威氟有限公司 用于生产太阳能电池的方法
CN102498550B (zh) * 2009-09-02 2014-07-16 积水化学工业株式会社 用于蚀刻含硅膜的方法
JP2013508990A (ja) * 2009-10-26 2013-03-07 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング Tftマトリックスを製造するためのエッチングプロセス
JP2013509701A (ja) 2009-10-30 2013-03-14 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング 堆積物の除去方法
WO2012008179A1 (ja) * 2010-07-12 2012-01-19 住友精密工業株式会社 エッチング方法
WO2012016997A1 (en) 2010-08-05 2012-02-09 Solvay Sa Method for the purification of fluorine
TWI586842B (zh) 2010-09-15 2017-06-11 首威公司 氟之製造工廠及使用彼之方法
KR20130111554A (ko) 2010-09-15 2013-10-10 솔베이(소시에떼아노님) 가스로부터 f2 및/또는 of2를 제거시키는 방법
JP5981106B2 (ja) * 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
EP2549525A1 (en) * 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using CHF3
EP2549526A1 (en) * 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using fluorosubstituted compounds
WO2013024041A1 (en) 2011-08-17 2013-02-21 Solvay Sa Electrolytic process for the manufacture of fluorine and an apparatus therefor
EP2860287A1 (en) 2013-10-11 2015-04-15 Solvay SA Improved electrolytic cell
EP2860288A1 (en) 2013-10-11 2015-04-15 Solvay SA Improved electrolytic cell
EP2944385A1 (en) * 2014-05-12 2015-11-18 Solvay SA A process for etching and chamber cleaning and a gas therefor
EP3109199B1 (fr) * 2015-06-25 2022-05-11 Nivarox-FAR S.A. Piece a base de silicium avec au moins un chanfrein et son procede de fabrication
US9595451B1 (en) * 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
KR102411668B1 (ko) 2016-03-17 2022-06-20 니폰 제온 가부시키가이샤 플라즈마 에칭 방법
DE102016220248A1 (de) * 2016-10-17 2018-04-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und verfahren zum anisotropen drie-ätzen mit fluorgasmischung
GB201708927D0 (en) * 2017-06-05 2017-07-19 Spts Technologies Ltd Methods of plasma etching and plasma dicing

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004527906A (ja) * 2001-03-20 2004-09-09 アプライド マテリアルズ インコーポレイテッド 非反射性有機コーティング層をエッチングする方法
JP2005085893A (ja) * 2003-09-05 2005-03-31 Yamaha Corp ドライエッチング方法
WO2007035460A1 (en) * 2005-09-20 2007-03-29 Air Products And Chemicals, Inc. Apparatus and process for surface treatment of substrate using an activated reactive gas

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4784720A (en) 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
US4805456A (en) 1987-05-19 1989-02-21 Massachusetts Institute Of Technology Resonant accelerometer
FR2616030A1 (fr) 1987-06-01 1988-12-02 Commissariat Energie Atomique Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede
US5445712A (en) 1992-03-25 1995-08-29 Sony Corporation Dry etching method
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3064134B2 (ja) 1993-01-14 2000-07-12 三菱重工業株式会社 多層紙形成装置
DE4420962C2 (de) 1994-06-16 1998-09-17 Bosch Gmbh Robert Verfahren zur Bearbeitung von Silizium
JPH10223614A (ja) 1997-02-12 1998-08-21 Daikin Ind Ltd エッチングガスおよびクリーニングガス
US6015759A (en) * 1997-12-08 2000-01-18 Quester Technology, Inc. Surface modification of semiconductors using electromagnetic radiation
WO1999036948A1 (en) * 1998-01-15 1999-07-22 Kionix, Inc. Integrated large area microstructures and micromechanical devices
US6203671B1 (en) * 1999-03-10 2001-03-20 Alliedsignal Inc. Method of producing fluorinated compounds
US6521018B2 (en) * 2000-02-07 2003-02-18 Air Products And Chemicals, Inc. Blanketing metals and alloys at elevated temperatures with gases having reduced global warming potential
DE10006035A1 (de) * 2000-02-10 2001-08-16 Bosch Gmbh Robert Verfahren zur Herstellung eines mikromechanischen Bauelements sowie ein nach dem Verfahren hergestelltes Bauelement
JP2001267241A (ja) * 2000-03-10 2001-09-28 L'air Liquide クリーニング方法及び装置並びにエッチング方法及び装置
JP4585719B2 (ja) * 2001-08-24 2010-11-24 株式会社アルバック エッチング方法
JP2003158123A (ja) * 2001-08-30 2003-05-30 Research Institute Of Innovative Technology For The Earth プラズマクリーニングガス及びプラズマクリーニング方法
JP4205325B2 (ja) * 2001-09-12 2009-01-07 セントラル硝子株式会社 トリフルオロメチルハイポフルオライトの製造方法
GB2388468B (en) 2002-02-08 2005-05-04 Microsaic Systems Ltd Microengineered electrical connectors
US6979652B2 (en) 2002-04-08 2005-12-27 Applied Materials, Inc. Etching multi-shaped openings in silicon
DE10234589A1 (de) * 2002-07-30 2004-02-12 Robert Bosch Gmbh Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung
DE10237787A1 (de) * 2002-08-17 2004-03-04 Robert Bosch Gmbh Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung
JP4320389B2 (ja) * 2003-02-28 2009-08-26 関東電化工業株式会社 Cvdチャンバーのクリーニング方法およびそれに用いるクリーニングガス
JP4264479B2 (ja) * 2003-03-14 2009-05-20 キヤノンアネルバ株式会社 Cvd装置のクリーニング方法
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US20050029221A1 (en) 2003-08-09 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench etching using HDP chamber
US20050241670A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for cleaning a reactor using electron attachment
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US20060016459A1 (en) 2004-05-12 2006-01-26 Mcfarlane Graham High rate etching using high pressure F2 plasma with argon dilution
JP4634199B2 (ja) * 2005-03-30 2011-02-16 関東電化工業株式会社 フッ素含有ガスによる表面改質方法及びその装置
US7531461B2 (en) 2005-09-14 2009-05-12 Tokyo Electron Limited Process and system for etching doped silicon using SF6-based chemistry
JP4749174B2 (ja) 2006-02-13 2011-08-17 パナソニック株式会社 ドライエッチング方法、微細構造形成方法、モールド及びその製造方法
JP5028872B2 (ja) * 2006-03-02 2012-09-19 凸版印刷株式会社 針状体の製造方法
US20070232048A1 (en) 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having a SiCOH low k layer
US20090068844A1 (en) 2006-04-10 2009-03-12 Solvay Fluor Gmbh Etching Process
TW201103972A (en) 2009-04-01 2011-02-01 Solvay Fluor Gmbh Process for the manufacture of etched items

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004527906A (ja) * 2001-03-20 2004-09-09 アプライド マテリアルズ インコーポレイテッド 非反射性有機コーティング層をエッチングする方法
JP2005085893A (ja) * 2003-09-05 2005-03-31 Yamaha Corp ドライエッチング方法
WO2007035460A1 (en) * 2005-09-20 2007-03-29 Air Products And Chemicals, Inc. Apparatus and process for surface treatment of substrate using an activated reactive gas

Also Published As

Publication number Publication date
JP2016086188A (ja) 2016-05-19
TWI558655B (zh) 2016-11-21
JP2011506119A (ja) 2011-03-03
WO2009080615A3 (en) 2009-08-27
EP2235742A2 (en) 2010-10-06
US20100267241A1 (en) 2010-10-21
JP6280143B2 (ja) 2018-02-14
EP2235742B1 (en) 2020-02-12
RU2010130570A (ru) 2012-01-27
KR20100099313A (ko) 2010-09-10
CN101925983A (zh) 2010-12-22
CN104979188A (zh) 2015-10-14
WO2009080615A2 (en) 2009-07-02
US8524112B2 (en) 2013-09-03
TW200944471A (en) 2009-11-01

Similar Documents

Publication Publication Date Title
KR101591114B1 (ko) 미세 전자기계 시스템의 제조 방법
US20080023441A1 (en) Method of deep etching
TWI453818B (zh) 電漿蝕刻方法
US6355181B1 (en) Method and apparatus for manufacturing a micromechanical device
EP3214640B1 (en) Plasma etching method
JPH07503815A (ja) ケイ素の異方性エッチング法
KR101279530B1 (ko) 건식 식각 방법
JP4978512B2 (ja) プラズマエッチング方法
EP1498941A2 (en) Unsaturated oxygenated fluorocarbons for selective anisotropic etch applications
KR101877827B1 (ko) 에칭 가스 및 에칭 방법
JP2002500443A (ja) 地球温暖化影響を減少させたヒドロフルオロカーボンエッチング化合物
US7872333B2 (en) Layer system comprising a silicon layer and a passivation layer, method for production a passivation layer on a silicon layer and the use of said system and method
TW201029065A (en) Selective etching and formation of xenon difluoride
JP6989770B2 (ja) ドライエッチング剤、ドライエッチング方法及び半導体装置の製造方法
WO2005071721A1 (en) Plasma etching process
KR20200044974A (ko) 에칭 방법 및 반도체의 제조 방법
KR20050046583A (ko) 에칭 방법
JP4819894B2 (ja) 基板に親水性トレンチをエッチングするのに適した方法
EP2549526A1 (en) Process for the production of etched items using fluorosubstituted compounds
EP2879165A1 (en) Etching Process
JP2001015488A (ja) ドライエッチング用ガスおよび半導体デバイスの加工方法
JP2006060089A (ja) シリコン材のエッチング方法とその装置並びにシリコン成形体

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190103

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20200103

Year of fee payment: 5