JP4819894B2 - 基板に親水性トレンチをエッチングするのに適した方法 - Google Patents

基板に親水性トレンチをエッチングするのに適した方法 Download PDF

Info

Publication number
JP4819894B2
JP4819894B2 JP2008525332A JP2008525332A JP4819894B2 JP 4819894 B2 JP4819894 B2 JP 4819894B2 JP 2008525332 A JP2008525332 A JP 2008525332A JP 2008525332 A JP2008525332 A JP 2008525332A JP 4819894 B2 JP4819894 B2 JP 4819894B2
Authority
JP
Japan
Prior art keywords
etching
passivation
gas
substrate
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008525332A
Other languages
English (en)
Other versions
JP2009505381A (ja
Inventor
グレゴリー, ジョン マックアヴォイ,
ダレル, ラルー マックレイノルズ,
キア シルバーブルック,
Original Assignee
シルバーブルック リサーチ ピーティワイ リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シルバーブルック リサーチ ピーティワイ リミテッド filed Critical シルバーブルック リサーチ ピーティワイ リミテッド
Publication of JP2009505381A publication Critical patent/JP2009505381A/ja
Application granted granted Critical
Publication of JP4819894B2 publication Critical patent/JP4819894B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1601Production of bubble jet print heads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/14Structure thereof only for on-demand ink jet heads
    • B41J2/14016Structure of bubble jet print heads
    • B41J2/14145Structure of the manifold
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1628Manufacturing processes etching dry etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1631Manufacturing processes photolithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1646Manufacturing processes thin film formation thin film formation by sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Particle Formation And Scattering Control In Inkjet Printers (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)

Description

発明の詳細な説明
[発明の分野]
本発明は、基板をエッチングする方法に関し、親水性の側壁を有するディープ又は超ディープトレンチの形成に適している。本発明は、主として、複雑なエッチング手順又はエッチング後処理の使用を回避しながらも、シリコン基板に親水性トレンチ又はチャネルを提供するために開発された。
[発明の背景]
MEMS(微小電気機械システム)デバイスのマイクロエレクトロニクス産業に対する影響は、近年極めて大きくなってきている。実際に、MEMSはマイクロエレクトロニクスの中で最も急成長している分野の1つである。MEMSの成長は、シリコンをベースとするフォトリソグラフィがマイクロスケールの機械デバイス及び構造の製造にまで拡張されたことによって、かなりの程度まで可能になってきている。フォトリソグラフィ技術では、もちろん、マスクの下に見られるシリコン基板の正確なエッチングを可能にする信頼性のあるエッチング技法を利用する。
MEMSデバイスは、物理的、化学的及び生物学的センシング装置で等、幅広い分野で応用されている。MEMSデバイスの1つの重要な用途は、インクジェットプリントヘッドにおいてであり、インクジェットノズル用のマイクロスケールのアクチュエータはMEMS技術を用いて製造することができる。本出願人は、MEMSインク噴出デバイスを組み込んだプリントヘッドを開発してきており、これらのプリントヘッドは、それらすべてが参照により本明細書中に組み込まれる以下の特許及び特許出願に記載されている。
10/727181 10/727162 10/727163 10/727245 10/727204 10/727233 10/727280
10/727157 10/727178 10/727210 10/727257 10/727238 10/727251 10/727159
10/727180 10/727179 10/727192 10/727274 10/727164 10/727161 10/727198
10/727158 10/754536 10/754938 10/727227 10/727160 10/934720 10/296522
6795215 10/296535 09/575109 6805419 6859289 09/607985 6398332
6394573 6622923 6747760 10/189459 10/884881 10/943941 10/949294
11/039866 11/123,011 11/123010 11/144769 11/148237 10/854521 10/854522
10/854488 10/854487 10/854503 10/854504 10/854509 10/854510 10/854496
10/854497 10/854495 10/854498 10/854511 10/854512 10/854525 10/854526
10/854516 10/854508 10/854507 10/854515 10/854506 10/854505 10/854493
10/854494 10/854489 10/854490 10/854492 10/854491 10/854528 10/854523
10/854527 10/854524 10/854520 10/854514 10/854519 10/854513 10/854499
10/854501 10/854500 10/854502 10/854518 10/854517 10/934628 10/728804
10/728952 10/728806 10/728834 10/728790 10/728884 10/728970 10/728784
10/728783 10/728925 10/728842 10/728803 10/728780 10/728779 10/773189
10/773204 10/773198 10/773199 6830318 10/773201 10/773191 10/773183
10/773195 10/773196 10/773186 10/773200 10/773185 10/773192 10/773197
10/773203 10/773187 10/773202 10/773188 10/773194 10/773193 10/773184
11/008118 11/060751 11/060805 6623101 6406129 6505916 6457809
6550895 6457812 10/296434 6428133 6746105 10/407212 10/407207
10/683064 10/683041 6750901 6476863 6788336 6322181 11/097308
11/097309 11/097335 11/097299 11/097310 11/097213 11/097212 10/760272
10/760273 10/760187 10/760182 10/760188 10/760218 10/760217 10/760216
10/760233 10/760246 10/760212 10/760243 10/760201 10/760185 10/760253
10/760255 10/760209 10/760208 10/760194 10/760238 10/760234 10/760235
10/760183 10/760189 10/760262 10/760232 10/760231 10/760200 10/760190
10/760191 10/760227 10/760207 10/760181 11/003786 11/003354 11/003616
11/003418 11/003334 11/003600 11/003404 11/003419 11/003700 11/003601
11/003618 11/003615 11/003337 11/003698 11/003420 11/003682 11/003699
11/071473 11/003463 11/003701 11/003683 11/003614 11/003702 11/003684
11/003619 11/003617 10/760254 10/760210 10/760202 10/760197 10/760198
10/760249 10/760263 10/760196 10/760247 10/760223 10/760264 10/760244
10/760245 10/760222 10/760248 10/760236 10/760192 10/760203 10/760204
10/760205 10/760206 10/760267 10/760270 10/760259 10/760271 10/760275
10/760274 10/760268 10/760184 10/760195 10/760186 10/760261 10/760258
11/014764 11/014763 11/014748 11/014747 11/014761 11/014760 11/014757
11/014714 11/014713 11/014762 11/014724 11/014723 11/014756 11/014736
11/014759 11/014758 11/014725 11/014739 11/014738 11/014737 11/014726
11/014745 11/014712 11/014715 11/014751 11/014735 11/014734 11/014719
11/014750 11/014749 11/014746 11/014769 11/014729 11/014743 11/014733
11/014754 11/014755 11/014765 11/014766 11/014740 11/014720 11/014753
11/014752 11/014744 11/014741 11/014768 11/014767 11/014718 11/014717
11/014716 11/014732 11/014742 11/097268 11/097185 11/097184
通常、MEMSインクジェットプリントヘッド(「MEMJETプリントヘッド」)は、複数のプリントヘッド集積回路で構成され、各集積回路は数千個のノズルを有する。各ノズルは、インクを噴出するためのアクチュエータを備えており、このアクチュエータは、たとえば、サーマル屈曲アクチュエータ(たとえば、米国特許第6322195号明細書)又は気泡形成加熱要素(bubble−forming heater element)アクチュエータ(たとえば、米国特許第6672709号明細書)である。これらの集積回路はMEMS技術を用いて製造され、このことは、高ノズル密度で、したがって高解像度のプリントヘッドを比較的低コストで大量生産することができることを意味する。
MEMSプリントヘッド集積回路の製造において、10ミクロンを超える深さまでディープ又は超ディープエッチングを行うことが多くの場合必要となる。ディープエッチング、特に超ディープエッチングの問題は、エッチング時に異方性を維持すること、すなわち、トレンチを水平方向ではなく、確実に垂直方向にエッチングすることである。理想的には、トレンチの側壁は、基板の表面に対して実質的に垂直となるべきである。
シリコンウエハ中を通るインク供給チャネルをエッチングする場合には、超ディープトレンチ内に垂直側壁を備えることが特に重要である。MEMSプリントヘッド集積回路では、個々の又は共通のインク供給チャネルを介した各ノズルへのインクの分配が必要となる。これらのインクチャネルは通常、約200ミクロンの厚さを有するウエハを貫通してエッチングされるため、採用される超ディープエッチング法に相当の要求を突きつける。各インクチャネルがウエハ表面に対して実質的に垂直で、インクの流れを妨げることがある屈曲(kink)又は側壁の突起(たとえば、グラシング(grassing))を含まないことが特に重要である。
共に参照により本明細書中に組み込まれる、出願人の米国特許出願第10/728784号明細書(出願人の参照:MTB08)及び米国特許出願第10/728970号明細書(出願人の参照:MTB07)には、滴噴出側とインク供給側とを有するウエハからインクジェットプリントヘッドを作製する方法が記載されている。図1を参照すると、気泡形成加熱要素アクチュエータ組立体2を備える典型的なMEMSノズル配置1が示してある。このアクチュエータ組立体2は、シリコンウエハ5のパッシベーション層4上のノズル室3内に形成される。ウエハは通常約200ミクロンの厚さ「B」を有し、一方ノズル室は通常約20ミクロンの厚さ「A」を占有する。
図2を参照すると、インク供給チャネル6が、ウエハ5を貫通して相互接続部7のCMOSメタライゼーション層までエッチングされている。入り口8は、インク供給チャネル6とノズル室(図2では明確にするために取り除かれている)との間に流体接続を提供する。CMOS駆動回路9は、ウエハ5と相互接続部7との間に設けられている。アクチュエータ組立体2、関連する駆動回路9及びインク供給チャネル6は、参照により本明細書中に組み込まれる米国特許出願第10/302274号明細書に記載されているように、リソグラフィによりマスクで覆うエッチング技法によって、ウエハ3上に、またはウエア3を貫通して形成することができる。
図3を参照すると、まずウエハの滴噴出側(すなわち、ノズル側)からウエハ5を部分的に貫通するトレンチをエッチングすることによって、インク供給チャネル6をウエハ5内に形成する。(このトレンチが図2に示す入り口8となる)。形成したら、図3に示すようにトレンチにフォトレジスト10を詰め、ウエハ5のインク供給側からフォトレジスト栓10までの超ディープエッチングによってインク供給チャネル6を形成する。最後に、フォトレジスト10をトレンチから剥離して、インク供給チャネル6とノズル室3との間に流体接続を提供する入り口8を形成する。
或いは、各インク供給チャネルを、すべてが同じ色付きインクを噴出する複数のノズルにインクを供給するように構成することができる。この配置は図4に示してあり、その内容が参照により本明細書中に組み込まれる、出願人の同時係属米国特許出願第10/760254号明細書(出願人の参照:RRC022)に詳細に記載されている。
これらのインク供給チャネル構成のいずれにおいても、「バックエッチング」技法により、レジストをインク供給チャネル全体に充填及び除去することが回避されるが、ウエハ内のノズル構造はリソグラフィによって形成されている。最大200ミクロンの深さまでの異方性エッチングの問題にもかかわらず、インク供給チャネルをエッチングする場合には、親水性のチャネル側壁を提供することも望ましい。インクジェットプリントヘッドの最適な印刷条件は通常、疎水性のノズル面及び親水性のインク供給チャネルを有することによって実現される。親水性のインク供給チャネルにより、水性インクジェットインクが確実にバルクインク溜めからインク供給チャネルに吸い込まれる。疎水性のノズル面により、インクが各ノズルから噴出されたときに確実に離散インク液滴が形成され、さらに印刷時の表面フラッディング(surface flooding)が最小限に抑えられる。
シリコンに超ディープトレンチをエッチングするためのいくつかの方法が、当技術分野で公知である。これらの方法はすべて、ガスプラズマを用いるディープ反応性イオンエッチング(DRIE)に関係する。適切なマスクがその上に配置された半導体基板が、プラズマ反応器内の下部電極上に配置され、ガスの混合物から形成されるイオン化ガスプラズマにさらされる。このイオン化プラズマガス(通常正に帯電)が、電極にバイアス電圧が印加されることによって基板に向かって加速される。これらのプラズマガスにより、物理的衝撃、化学反応又は両者の組合せによって基板がエッチングされる。シリコンのエッチングは通常、最終的にはSiF等の揮発性ハロゲン化珪素の形成によって実現され、これらの揮発性ハロゲン化ケイ素は、ヘリウム等軽い不活性キャリアガスによってエッチングフロントから運び去られる。
異方性エッチングは一般に、形成しているトレンチの底面及び側壁上にパッシベーション層を堆積させ、ガスプラズマを用いてトレンチの底面を選択的にエッチングすることによって実現される。
超ディープ異方性エッチングを実現するための1つの方法は、米国特許第5501893号明細書及び米国特許第6284148号明細書に記載されている「ボッシュ法」である。これは、民間のMEMS製造会社において選択される現在の方法であり、ポリマー堆積及びエッチングステップを交互に含む。シャロートレンチの形成後、第1のポリマー堆積ステップによりトレンチの底面及び側壁上にポリマーが堆積される。このポリマーは、不活性ガスの有無にかかわらず、フッ素化ガス(たとえば、CHF、C又はC)から形成されるガスプラズマによって堆積される。その後のエッチングステップでは、プラズマガス混合物がSF/Arに変更される。トレンチの底面上に堆積されたポリマーは、このエッチングステップにおいてイオン支援によって急速に砕かれるが、側壁は保護されたままである。したがって、異方性エッチングを実現することができる。しかしながら、ボッシュ法の大きな欠点は、ポリマー堆積及びエッチングステップを交互に行う必要があることであり、このことは、プラズマのガス組成を連続して交互に入れ替えることを意味する。このように交互にすると、今度は、エッチング速度が遅くなり、トレンチ側壁が平坦でなくなってしまい、このことは、波を打った(scalloped)表面が形成されることで特徴付けられる。また、ガスの化学的性質が切り替わったときにプラズマが不安定であると、平坦でない側壁の形成は悪化する傾向にもある。
さらに、このボッシュのエッチングにより、トレンチ側壁上に疎水性のポリマーコーティングが残される。上述のとおり、疎水性の側壁は、インクジェットプリントヘッド用のインク供給チャネル等の流体光学(fruidics)用途では望ましくない。したがって、インクジェットプリントヘッド用途では、通常ボッシュのエッチングの後に、EKC湿式洗浄、乾式Oプラズマアッシング、これらの組合せ等、エッチング後洗浄プロセスが行われる。このエッチング後洗浄プロセスは、疎水性ポリマーを取り除き、SiOでコーティングされたチャネル側壁を残すよう意図されている。しかしながら、エッチング後洗浄プロセスは、望ましくないことに作製ステップの数を増大させ、またEKC洗浄中のウエハ亀裂等、それらプロセス自体に特有の問題を招くこともある。
この周期的なボッシュ法の変更形態が、Applied Materials,Inc.に譲渡された米国特許第6127278号明細書に記載されている。Applied Materialsのプロセスでは、HBr/Oプラズマを用いる最初のパッシベーションエッチングが行われ、その後SF/HBr/Oを用いる主要エッチングが行われ、これらが交互に連続して行われる。このHBrにより、おそらくパッシベーション層中に比較的不揮発性の臭化ケイ素が形成されることによってパッシベーションが高まる。しかしながら、疎水的にコーティングされた側壁の問題が、このApplied Materialsのプロセスには依然として残る。
プラズマガスを連続して交互に入れ替える必要がある面倒なボッシュ法を避けるために、同時側壁パッシベーションを使用する異方性エッチング技法が開発された。このようなエッチング法では、プラズマ混合物がパッシベーション成分及びエッチング成分から形成される。典型的なプラズマ混合物は、イオン分散を高めるために高く推奨されているキャリアガスとしてのHeを加えたO/SFから形成される。このプラズマ混合物により、パッシベーションとエッチングが同時に行われ、このことはボッシュ法の欠点を回避する。それでもなお、ガスを混合すると異方性エッチングの効果が弱くなるというのが一般的な見解であり、というのは、これら2つのプロセスは自ら相殺する(self−canceling)傾向にあるためである。したがって、同時側壁パッシベーションエッチングは、大抵は比較的浅いトレンチのエッチングに限られていた。超ディープ異方性エッチングには、交互のパッシベーション/エッチングが断然好ましい技法である。
プラズマガス混合物の交互の入れ替えを必要としない、超ディープトレンチをエッチングするための1つの成功プロセスは、米国特許第6191043号明細書に記載されている「Lamプロセス」である。このLamプロセスでは、パッシベーション/エッチングプラズマがO、SF、He及びArの混合物から形成され、Oはパッシベーションガス、SFはエッチングガス、Heはキャリアガス、Arは衝撃増強ガスである。受け入れ可能なエッチング速度のLamプロセスを用いることにより、最大60ミクロンのトレンチ深さが報告されている。しかしながら、このプロセスは幅広くは使用されておらず、60ミクロンを超えるエッチング深さは報告されていない。
上記エッチングプロセスのいずれを使用しても、親水性の側壁を残しながら100ミクロンを超える深さまで典型的なウエハを貫通するトレンチをエッチングすることはできない。エッチングプロセス(又はエッチング後の処理)によりSiOでコーティングされた側壁が残される場合であっても、これらSiOでコーティングされた側壁はさほど親水性ではなく、約60°の接触角を有する。真に親水性の表面は、50°未満、好ましくは40°未満、又は好ましくは30°未満の接触角を有する。
100ミクロンを超える超ディープトレンチを異方性エッチングすることができる新しい反応性イオンエッチングプロセスを提供することが望ましいはずである。任意のエッチング後親水化処理の必要なく、エッチングの後親水性の側壁を残すプロセスであることが特に望ましいはずである。
[発明の概要]
第1の態様では、本発明は基板にトレンチをディープ反応性イオンエッチングする方法を提供し、前記方法は、エッチングガスプラズマを用いるエッチングプロセスと、パッシベーションガスプラズマを用いるパッシベーションプロセスとを含み、前記パッシベーションガスプラズマが親水化ドーパント(hydrophilizing dopant)を含む。
第2の態様では、インクジェットプリントヘッドを作製する方法が提供され、この方法は、
(i)滴噴出側とインク供給側とを有するウエハを準備するステップと、
(ii)前記ウエハの前記滴噴出側を部分的に貫通する複数のトレンチをエッチングするステップと、
(iii)前記トレンチをフォトレジストで充填するステップと、
(iv)リソグラフィによりマスクで覆うエッチング技法を用いて、前記ウエハの前記滴噴出側に、複数の対応するノズル、噴出アクチュエータ及び関連する駆動回路を形成するステップと、
(v)前記ウエハの前記インク供給側から前記フォトレジストまで複数の対応するインク供給チャネルをエッチングするステップと、
(vi)前記トレンチから前記フォトレジストを剥離してノズル入り口を形成し、それにより前記インク供給側と前記ノズルとの間に流体接続が提供されるステップとを含み、
前記インク供給チャネルが、上述のエッチング方法を用いてエッチングされる。
第3の態様では、基板表面にエッチングされた少なくとも1つの特徴部(feature)を備える基板が提供され、前記特徴部は、
(a)100ミクロンを超える深さ、
(b)前記表面に対して実質的に垂直な側壁、及び
(c)50°未満の接触角を有する側壁の特徴を有する。
第4の態様では、インクジェットプリントヘッドが提供され、このインクジェットプリントヘッドは、
滴噴出側とインク供給側とを有する基板と、
前記基板の前記滴噴出側に形成された複数のノズル組立体であって、各々がインク入り口を有するノズル組立体と、
前記インク供給側に画定された複数のインク供給チャネルであって、各々が少なくとも1つのインク入り口と流体連通するインク供給チャネルと、を備え、
前記インク供給チャネルが、
(a)100ミクロンを超える深さ、
(b)基板のインク供給側によって画定される表面に対して実質的に垂直な側壁、及び
(c)50°未満の接触角を有する側壁の特徴を有する。
本発明のエッチング方法は、それによって基板にトレンチ又はチャネルを形成することができる手段を提供する。これらのトレンチ又はチャネルは、エッチングプラズマガスの化学的性質に存在する親水化ドーパントのおかげで親水性側壁を有利に有する。したがって、この方法は、インクジェットプリントヘッドにおけるインク供給チャネル等、水性流体の用途において使用されるトレンチ又はチャネルの形成に非常に適している。
このエッチング方法は、受け入れ可能なエッチング速度で少なくとも100ミクロンの深さを有する、超ディープトレンチをシリコンにエッチングするために使用することができる。さらに、この方法を用いると、最小限のRIEラグしか観測されない。また、この方法により非常に異方性の高いエッチングが提供され、それにより形成されたトレンチは基板表面に対して実質的に垂直な側壁を有する。「実質的に垂直」とは、側壁のテーパー(taper)角が85°と95°の間、好ましくは87°と93°の間、より好ましくは88°と92°の間であることを意味する。
本発明の追加の実用上の利点には、EKC湿式洗浄やOプラズマ乾式アッシング等の任意のエッチング後清浄ステップの潜在的回避が含まれる。
[任意選択の特徴の詳細な説明]
エッチングプラズマは通常、誘導結合プラズマエッチング反応器等のプラズマエッチング反応器内で生成される。プラズマエッチング反応器は当技術分野で公知であり、様々な供給源(たとえば、Surface Technology Systems,PLC)から市販されている。通常、エッチング反応器は、アルミニウム、ガラス又は石英から形成されているチャンバを備え、このチャンバは一対の平行電極板を含む。しかしながら、他のデザインの反応器も入手可能であり、本発明は任意のタイプのプラズマエッチング反応器での使用に適している。
無線周波数(RF)エネルギー源を使用して、チャンバに導入されたプラズマガスをイオン化する。このイオン化ガスを、バイアス電圧によって(静電チャック)下部電極上に配置された基板に向けて加速させる。したがって、エッチングは、物理的衝撃と化学反応との組合せによって実現される。プラズマガスの相対比率、バイアス電圧、RFイオン化エネルギー、基板温度、チャンバ圧力等を制御するための様々な制御手段を設ける。エッチング条件を最適化するためにプラズマ反応器のパラメータを変更することは、もちろん当業者の一般常識の範囲内にあろう。たとえば、チャンバ圧力は通常5〜100mTorrの範囲内にあり、この範囲は、ディープ反応性イオンエッチング(DRIE)に典型的なものである。
任意選択で、親水化ドーパントの総量は、親水化ドーパントがパッシベーションガスプラズマの10vol%未満、8vol%未満、又は5vol%未満となるようにする。このドーパントは、液体又は気体としてプラズマチャンバに導入することができる。一部の親水化ドーパント(たとえば、B)の毒性又は爆発性は、液体が気体よりも好ましい場合もあることを意味する。もちろん液体は、プラズマチャンバ内で急速に気化することになる。
任意選択で、この親水化ドーパントは、ホウ素含有化合物、リン含有化合物又はこれらの組合せを含む。任意選択で、この親水化ドーパントは、B、PH、ホウ酸トリメチル(TMB)、リン酸トリメチル(TMP)又はこれらの組合せから選択される化合物を含む。
ボロン及びリンドーパントは、シリコンガラスの形成において周知である。したがって、エッチングによりもたらされるトレンチ側壁は通常、リンケイ酸ガラス(PSG)、ホウケイ酸ガラス(BSG)、ホウリンケイ酸ガラス(BPSG)又はこれらの組合せを含む。このようなガラスは、二酸化シリコンよりも親水性であることが知られている。
任意選択で、エッチングによりもたらされるトレンチ側壁は50°未満、40°未満、又は30°未満の接触角を有する。これらの角度は親水性の接触角であり、ボッシュのエッチングによりもたらされる極めて疎水性の側壁と対照的である。これらはまた、通常約60°の接触角を有するSiO側壁よりもはるかに親水性である。
上述のように、本発明の方法は一般に、かなり異方性のエッチングを提供し、それにより100ミクロンを超える、200ミクロン超える、又は300ミクロンを超える深さまで実質的に垂直な側壁を有し、1.5:1を超える、2:1を超える、5:1を超える、10:1を超える、又は20:1を超えるアスペクト比を有するトレンチがもたらされる。このことは、プリントヘッド作製時にインク供給チャネルをエッチングするためには特に有利である。
任意選択で、この方法を使用して基板に複数のトレンチを同時にエッチングし、その場合、トレンチの位置を基板上のマスク層によって画定する。通常、このマスクは酸化物層(たとえば、熱で強化された酸化ケイ素(「TEOS」)又はフォトレジストである。
驚くべきことに、本発明の方法を用いることによって高い基板:マスク選択比が観測される。超ディープトレンチをエッチングする場合にはマスクがすり減らないことが必須であるため、選択比は重要である。一般に、軟質のフォトレジストマスクと比較して、硬質の酸化物マスクを用いるとより高い基板:マスク選択比が実現可能である。軟質のフォトレジストマスクを用いると、本発明により通常少なくとも30:1、任意選択で少なくとも40:1、又は任意選択で少なくとも50:1の基板:マスク選択比がもたらされる。硬質の酸化物マスクを用いると、本発明により通常少なくとも80:1、任意選択で少なくとも90:1、又は任意選択で少なくとも100:1の基板:マスク選択比がもたらされる。この方法がかなりの程度まで基板の物理的衝撃を利用することを考えると、このような高い選択比は驚くべきことである。
本発明の方法は一般に、まずまず高いエッチング速度を提供する。典型的なシリコンエッチングでは、少なくとも4ミクロン/分、任意選択で少なくとも5ミクロン/分、任意選択で少なくとも6ミクロン/分、又は任意選択で少なくとも7ミクロン/分のエッチング速度が通常実現可能である。したがって、この方法は、プリントヘッドにおけるインク供給チャネルとして使用することができる、超ディープトレンチ(たとえば、長さ200ミクロンのトレンチ)のエッチングに適している。
一実施形態では、本発明はエッチング時に同時側壁パッシベーションを採用する。同時エッチング/側壁パッシベーションの場合、この方法は、エッチング及びパッシベーションガスプラズマを用いて基板にトレンチをエッチングするステップを含む。このエッチング及びパッシベーションガスプラズマは、任意選択で、(a)酸素を含むパッシベーションガスと、(b)不活性スパッタリングガスと、(c)フッ素化エッチングガスと、(d)親水化ドーパント(上述のような)とを含む。
任意選択で、不活性スパッタリングガスはアルゴンである。任意選択で、不活性スパッタリングガスの流量は100〜300sccm又は150〜250sccmの範囲内にある。任意選択で、ガスの化学的性質はヘリウム等他の不活性ガスを含むことができる。しかしながら、ガスの化学的性質の制御を簡略化するために、Arのみを使用することが一般には好ましい。
フッ素化エッチングガスは、フッ素ラジカルを生成し、プラズマエッチング反応器内でシリコンをエッチングすることができる、任意のフッ素をベースとするガスでよい。フッ素化ガスは、たとえばSF、NF又はこれらの混合物でよい。任意選択で、フッ素化ガスはSFである。任意選択で、フッ素化ガスの流量は、10〜100sccm又は20〜80sccmの範囲内にある。任意選択で、フッ素化ガスに対する不活性スパッタリングガス(たとえば、アルゴン)の比率は、2〜20対1、又は2〜10対1の範囲内にある。
パッシベーションガスは、酸素に加えて他のパッシベーション成分を含むことができる。たとえば、パッシベーションを支援するために、HBrが存在していてもよい。任意選択で、パッシベーションガスの流量は、10〜80sccm又は15〜60sccmの範囲内にある。任意選択で、パッシベーションガスに対する不活性スパッタリングガスの比率は、2〜20対1、より好ましくは3〜15対1の範囲内にある。任意選択で、パッシベーションガスに対するフッ素化エッチングガスの比率は、3:1〜1:3、又は2:1〜1:2、又は3:2〜2:3の範囲内にある。
理論に束縛されることを望むわけではないが、同時エッチング/パッシベーション時の異方性の源は、以下のプロセスによって理解することができる。
まず酸素及びフッ素ラジカルが、反応式[1]及び[2]に従ってプラズマ内で生成される。
SF+e → S +S +F+e [1]
+e → O+O+e [2]
これらの酸素ラジカルは、まず表面上へ吸着し、次いで反応して酸化物膜を形成することによって、シリコン表面をパッシベーションする。
+Si(s) → Si(s)−nO → SiO(sf) [3]
この酸化物パッシベーション層は、トレンチの側壁と底面とを共に覆う。しかしながら、トレンチの底面にある酸化物層は、以下のプロセスによって取り除くことができる。
SiO(sf)+F → SiO(sf)−F [4]
SiO(sf)−nF → イオンエネルギー → SiF(ads)+SiO(ads) [5]
吸着したフッ化ケイ素及び酸化フッ化ケイ素種は、それらの揮発性又は物理的なスパッタリングによって、シリコン表面から解離する。トレンチの底面にあるシリコンがさらされているため、プラズマ中のフッ素ラジカルがエッチングを進めることができる。このエッチングは、フッ化ケイ素種の揮発性によって促進される。
Si(s)+F → Si−nF [6]
Si−nF → イオンエネルギー → SiF(ads) [7]
SiF(ads) → SiF(g) [8]
上記反応式[1]〜[8]において、(s)は表面を表し、(sf)は表面膜を表し、(ads)は吸着を表し、(g)は気体を表し、x、y及びnは、定義できない混合される可能性のある酸化状態の種を表す任意の整数である。
エッチング時に異方性を実現するという点では、反応式[5]が鍵となるステップを示す。トレンチの底面にあるパッシベーション層は、イオンエネルギーによって支援されるプロセスによって取り除かれる。エッチングプラズマは、プラズマ反応器内のシリコン基板に向かって垂直に加速されるため、トレンチの側壁は底面と同じイオンエネルギーを受けることはない。したがって、異方性エッチングを実現することができる。
一般に、プラズマ中のイオンエネルギーが大きくなればなるほど、実現することができる異方性の程度も大きくなる。高いイオンエネルギーを実現する1つの方法は、プラズマ反応器内のバイアス電力を増大させることである。しかしながら、高いイオンエネルギーを実現する代替の方法は、アルゴンイオン等、プラズマ中の重イオンを使用することである。
代替実施形態では、本発明は、準ボッシュ法におけるエッチング及び側壁パッシベーションステップを交互に採用する。交互のエッチング/パッシベーションの場合、この方法は、
(i)エッチングガスプラズマを用いて基板にエッチングするステップであって、エッチングガスプラズマが、
(a)フッ素化エッチングガスと、
(b)不活性スパッタリングガスと、を含むステップと、
(ii)パッシベーションガスプラズマを用いて基板の露出表面をパッシベーションするステップであって、パッシベーションガスプラズマが、
(a)シリコン含有堆積ガスと、
(b)親水化ドーパントと、を含むステップと、
(iii)ステップ(i)及び(ii)を交互に繰り返すステップと、を含む。
フッ素化エッチングガス、不活性スパッタリングガス及び親水化ドーパントは、一般に先に説明したとおりである。
通常、シリコン含有堆積ガスはSiHを含むが、任意のシリコン含有堆積ガス(たとえば、気化TEOS)を使用することもできる。任意選択でパッシベーションガスプラズマ中に存在することができる他のガスには、N、NO、NH、O又はこれらの組合せが含まれる。したがって、パッシベーションステップ(ii)は、基板上にリンケイ酸ガラス(PSG)、ホウケイ酸ガラス(BSG)又はホウリンケイ酸ガラス(BPSG)を堆積させるための典型的なプロセスに類似している。エッチングステップ(i)は、ボッシュ法における典型的なエッチングステップに類似している。
[一般的な実験手順]
エッチングはすべて、標準的な誘導結合プラズマDRIE反応器内で行う。この反応器は以下のように構成する。
ICP:1.9〜2.2MHz、最大2000W
バイアス:13.56MHz、最大1250W
下部電極:陽極酸化静電チャック(ESC)、最大1000W
チャンバ:陽極酸化、チャンバ容積1.4リットル
ポンプ:ターボ2リットル
冷却:裏面ヘリウム冷却
本発明を純粋に例を用いて説明してきたにすぎず、添付の特許請求の範囲によって定義される、本発明の範囲内で詳細の変更を加えることができることがもちろん理解されよう。
図1はプリントヘッド用のノズル配置の斜視図である。 図2はアクチュエータ組立体を取り除いた図1に示すノズル配置の断面斜視図である。 図3はフォトレジスト詮を剥離する前の図2に示すプリントヘッドノズル配置の断面斜視図である。 図4は代替のインク供給チャネル配置の断面斜視図である。

Claims (14)

  1. 基板にトレンチをエッチングして水性流体の供給チャネルを形成する方法であって、
    エッチングガスプラズマを用いるエッチングプロセスと、酸素を含むパッシベーションガスプラズマを用いるパッシベーションプロセスとを含み、
    前記基板がシリコン基板であり、
    前記パッシベーションガスプラズマが親水化ドーパントを含み、
    前記親水化ドーパントが、B、PH、ホウ酸トリメチル(TMB)、リン酸トリメチル(TMP)又はこれらの組合せからなる群から選択される化合物を含む方法。
  2. 前記エッチングガスプラズマがプラズマエッチング反応器内で生成され、前記基板が前記反応器内でエッチングされる、請求項1に記載の方法。
  3. 前記エッチングによりもたらされるトレンチ側壁が50°未満の接触角を有する、請求項1に記載の方法。
  4. 前記エッチングによりもたらされるトレンチ側壁が、リンケイ酸ガラス(PSG)、ホウケイ酸ガラス(BSG)、ホウリンケイ酸ガラス(BPSG)又はこれらの組合せを含む、請求項1に記載の方法。
  5. 前記トレンチが100ミクロンを超える深さを有する、請求項1に記載の方法。
  6. 複数のトレンチが前記基板に同時にエッチングされ、前記トレンチの位置が、前記基板上に配置されるマスク層によって画定される、請求項1に記載の方法。
  7. 前記マスク層が酸化物層又はフォトレジスト層である、請求項に記載の方法。
  8. 基板:マスクの選択比が少なくとも30:1である、請求項に記載の方法。
  9. エッチング速度が少なくとも4ミクロン/分である、請求項1に記載の方法。
  10. 同時のエッチング及びパッシベーションプロセスを含み、単一のエッチング及びパッシベーションガスプラズマが前記エッチングガスプラズマ及び前記パッシベーションガスプラズマを含む、請求項1に記載の方法。
  11. 前記エッチング及びパッシベーションガスプラズマが、
    (a)酸素を含むパッシベーションガスと、
    (b)不活性スパッタリングガスと、
    (c)フッ素化エッチングガスと、
    (d)親水化ドーパントと
    を含む、請求項10に記載の方法。
  12. 前記不活性スパッタリングガスがアルゴンである、請求項11に記載の方法。
  13. 前記フッ素化エッチングガスが、SF、NF及びこれらの混合物からなる群から選択される、請求項11に記載の方法。
  14. エッチング及びパッシベーションプロセスを交互に含む、請求項1に記載の方法。
JP2008525332A 2005-08-08 2006-07-17 基板に親水性トレンチをエッチングするのに適した方法 Expired - Fee Related JP4819894B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/198,235 US7481943B2 (en) 2005-08-08 2005-08-08 Method suitable for etching hydrophillic trenches in a substrate
US11/198,235 2005-08-08
PCT/AU2006/000995 WO2007016720A1 (en) 2005-08-08 2006-07-17 Method suitable for etching hydrophilic trenches in a substrate

Publications (2)

Publication Number Publication Date
JP2009505381A JP2009505381A (ja) 2009-02-05
JP4819894B2 true JP4819894B2 (ja) 2011-11-24

Family

ID=37717241

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008525332A Expired - Fee Related JP4819894B2 (ja) 2005-08-08 2006-07-17 基板に親水性トレンチをエッチングするのに適した方法

Country Status (4)

Country Link
US (2) US7481943B2 (ja)
JP (1) JP4819894B2 (ja)
KR (1) KR100918333B1 (ja)
WO (1) WO2007016720A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2675856C (en) * 2007-03-12 2013-02-19 Silverbrook Research Pty Ltd Method of fabricating printhead having hydrophobic ink ejection face
US9039908B2 (en) * 2008-08-27 2015-05-26 Applied Materials, Inc. Post etch reactive plasma milling to smooth through substrate via sidewalls and other deeply etched features
JP6184291B2 (ja) 2013-10-22 2017-08-23 キヤノン株式会社 シリコン基板の加工方法
KR102496037B1 (ko) 2016-01-20 2023-02-06 삼성전자주식회사 플라즈마 식각 방법 및 장치
US11666918B2 (en) 2020-03-06 2023-06-06 Funai Electric Co., Ltd. Microfluidic chip, head, and dispensing device for dispensing fluids containing an acidic component

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63240027A (ja) * 1987-03-27 1988-10-05 Fujitsu Ltd ドライエツチング方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4782036A (en) * 1986-08-29 1988-11-01 Siemens Aktiengesellschaft Process for producing a predetermined doping in side walls and bases of trenches etched into semiconductor substrates
US4717448A (en) * 1986-10-09 1988-01-05 International Business Machines Corporation Reactive ion etch chemistry for providing deep vertical trenches in semiconductor substrates
EP0729175A1 (en) * 1995-02-24 1996-08-28 International Business Machines Corporation Method for producing deep vertical structures in silicon substrates
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
JP2002509808A (ja) * 1998-01-15 2002-04-02 キオニックス・インコーポレイテッド 集積大面積ミクロ構造体およびミクロメカニカルデバイス
EP1333474A3 (en) 1999-08-18 2003-10-29 Motorola, Inc. A method for forming a deep trench in a semiconductor substrate
US6439693B1 (en) * 2000-05-04 2002-08-27 Silverbrook Research Pty Ltd. Thermal bend actuator
US6653237B2 (en) * 2001-06-27 2003-11-25 Applied Materials, Inc. High resist-selectivity etch for silicon trench etch applications
US7098141B1 (en) * 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US7041226B2 (en) * 2003-11-04 2006-05-09 Lexmark International, Inc. Methods for improving flow through fluidic channels
US20050280674A1 (en) * 2004-06-17 2005-12-22 Mcreynolds Darrell L Process for modifying the surface profile of an ink supply channel in a printhead

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63240027A (ja) * 1987-03-27 1988-10-05 Fujitsu Ltd ドライエツチング方法

Also Published As

Publication number Publication date
US7481943B2 (en) 2009-01-27
JP2009505381A (ja) 2009-02-05
KR100918333B1 (ko) 2009-09-22
WO2007016720A1 (en) 2007-02-15
KR20080034197A (ko) 2008-04-18
US20090095709A1 (en) 2009-04-16
US20070030309A1 (en) 2007-02-08

Similar Documents

Publication Publication Date Title
JP4638499B2 (ja) インクジェットプリンタヘッド集積回路を製造する方法
McAuley et al. Silicon micromachining using a high-density plasma source
TWI530995B (zh) 用於蝕刻sin膜的方法
Kolari et al. Deep plasma etching of glass for fluidic devices with different mask materials
JP4819894B2 (ja) 基板に親水性トレンチをエッチングするのに適した方法
JP2007531280A (ja) 最少スカラップ基板の処理方法
EP1765596B1 (en) Process for modifying the surface profile of an ink supply channel in a printhead
CN103730411A (zh) 一种深硅通孔刻蚀方法
US7202178B2 (en) Micro-fluid ejection head containing reentrant fluid feed slots
KR100925054B1 (ko) 웨이퍼 식각 방법
US20220359214A1 (en) Metal etch in high aspect-ratio features
WO2022192063A1 (en) Isotropic silicon nitride removal
US10755941B2 (en) Self-limiting selective etching systems and methods
JP2022029847A (ja) シリコンのドライエッチング方法
JP2011091127A (ja) Si基板加工方法
US20240087910A1 (en) Methods of highly selective silicon oxide removal
US7413915B2 (en) Micro-fluid ejection head containing reentrant fluid feed slots
Akashi et al. Deep reactive ion etching of pyrex glass using a bonded silicon wafer as an etching mask
TW202324531A (zh) 用於製造高深寬比(har)特徵部的電漿蝕刻製程

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110318

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110719

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110809

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110901

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140909

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4819894

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140909

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140909

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140909

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140909

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees