JP4638499B2 - インクジェットプリンタヘッド集積回路を製造する方法 - Google Patents

インクジェットプリンタヘッド集積回路を製造する方法 Download PDF

Info

Publication number
JP4638499B2
JP4638499B2 JP2007534963A JP2007534963A JP4638499B2 JP 4638499 B2 JP4638499 B2 JP 4638499B2 JP 2007534963 A JP2007534963 A JP 2007534963A JP 2007534963 A JP2007534963 A JP 2007534963A JP 4638499 B2 JP4638499 B2 JP 4638499B2
Authority
JP
Japan
Prior art keywords
wafer
etching
ink supply
plug
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007534963A
Other languages
English (en)
Other versions
JP2008516429A (ja
Inventor
ダレル, ラルー マックレイノルズ,
カイア シルバーブルック,
Original Assignee
シルバーブルック リサーチ ピーティワイ リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from AU2004905800A external-priority patent/AU2004905800A0/en
Application filed by シルバーブルック リサーチ ピーティワイ リミテッド filed Critical シルバーブルック リサーチ ピーティワイ リミテッド
Publication of JP2008516429A publication Critical patent/JP2008516429A/ja
Application granted granted Critical
Publication of JP4638499B2 publication Critical patent/JP4638499B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/14Structure thereof only for on-demand ink jet heads
    • B41J2/14016Structure of bubble jet print heads
    • B41J2/14088Structure of heating means
    • B41J2/14112Resistive element
    • B41J2/1412Shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1601Production of bubble jet print heads
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1623Manufacturing processes bonding and adhesion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1628Manufacturing processes etching dry etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1631Manufacturing processes photolithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00841Cleaning during or after manufacture
    • B81C1/00849Cleaning during or after manufacture during manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • B81B2201/052Ink-jet print cartridges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0323Grooves
    • B81B2203/033Trenches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0111Bulk micromachining
    • B81C2201/0112Bosch process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0132Dry etching, i.e. plasma etching, barrel etching, reactive ion etching [RIE], sputter etching or ion milling

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Particle Formation And Scattering Control In Inkjet Printers (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Description

本発明は、半導体ウェーハ内にエッチングされたトレンチの側壁を修正するためのプロセスに関する。このプロセスは、主として、改良された表面特性および/または改良された表面形状を有するインク流路を形成するために、プリンタヘッド集積回路の製造プロセスに組み込むために開発されてきた。しかし、このプロセスは、任意のエッチングプロセスに等しく組み込まれることができる。
[関連出願の相互参照]
本発明に関連した様々な方法、システムおよび装置が、本発明の出願人または譲受人により出願された以下の米国特許/米国特許出願に開示されている。
Figure 0004638499

Figure 0004638499

これらの出願および特許の開示は、参照により本明細書に組み込まれる。
MEMS(微小電子機械システム)デバイスのマイクロエレクトロニクス産業への影響力は近年きわめて著しくなってきた。確かにMEMSは、マイクロエレクトロニクスに関する最も急速に成長している分野の1つである。MEMSの成長は、シリコンベースのフォトリソグラフィ技術をマイクロスケールの機械的デバイスおよび構造体の製造に拡張させることによって大幅に可能になった。当然のことであるが、フォトリソグラフィ技術は、マスクの下に現れたシリコン基板を正確にエッチングすることを可能にする信頼性の高いエッチング技術に依存している。
MEMSデバイスは、物理的、化学的および生物学的センシングデバイスの中などの広い様々な分野の中に用途を見出してきた。MEMSデバイスの1つの重要な用途は、インクジェットプリンタヘッドに関するものであり、ここでは、インクジェットノズル用のマイクロスケールアクチュエータがMEMS技術を使用して製造されることが可能である。本出願人はMEMSインク吐出デバイスを組み込んだプリンタヘッドを開発してきており、これらのことが、上記の相互参照の項で列挙され、それらのすべてが参照により本明細書に組み込まれる多数の特許および特許出願の主題である。
通常、MEMSインクジェットプリンタヘッド(「MEMJETプリンタヘッド」)は、それぞれが数千個のノズルを有する複数の集積回路からなる。各ノズルはインクを吐出するためのアクチュエータを備え、これは、例えば熱的に湾曲するアクチュエータ(例えば米国特許第6,322,195号)またはバブル形成用ヒーター素子アクチュエータ(例えば米国特許第6,672,709号)であってよい。集積回路はMEMS技術を使用して製造され、これは、高いノズル密度、およびしたがって高分解能のプリンタヘッドが相対的に安い費用で大量に生産されることが可能であることを意味する。
MEMSプリンタヘッド集積回路の製造において、ディープエッチングまたはウルトラディープのエッチングを行うことがしばしば必要とされる。約3μmから10μmのエッチング深さが「ディープエッチング」と呼ばれ、約10μm以上のエッチング深さは「ウルトラディープエッチング」と呼ばれることができよう。
MEMSプリンタヘッド集積回路は、通常、直径約20μmを有する個々のインク供給流路を通じて各ノズルにインクが供給されることを必要とする。通常、これらのインク流路は、厚さ約200μmを有するウェーハを貫いてエッチングされ、それゆえ、使用されるエッチング方法にかなりの要求を提起する。各インク流路がウェーハ表面に垂直であること、およびインクの流れを妨げかねないねじれ、側壁の突起(例えばグラッシング(grassing))、または角張った接合部を含まないことが特に重要である。
参照によりその両者が本明細書に組み込まれる、本出願人の米国特許出願番号10/728,784(出願人参照記号:MTB08)および10/728,970(出願人参照記号:MTB07)において、液滴吐出面およびインク供給面を有するウェーハ5からインクジェットプリンタヘッドを製造する方法が記載されている。図1を参照すると、バブル形成用ヒーター素子アクチュエータアセンブリ2を備えた代表的なMEMSノズル構成1が示される。アクチュエータアセンブリ2は、シリコンウェーハ5のパッシベーション層4の上のノズルチャンバ3内に形成される。ウェーハは、通常、厚さ「B」の約200μmを有するが、一方このノズルチャンバは通常、厚さ「A」の約20μmを占める。ノズルチャンバ3は、シリコンウェーハ5内でインク供給流路(図1に示されない)に結合する入口8を有する。
図2を参照すると、インク供給流路6は、最初に、TEOS相互接続7からなるCMOSメタライゼーション層を貫通しウェーハのインク吐出面20からウェーハ5を部分的に貫くトレンチをエッチングすることによって、ウェーハ5内に形成される。形成後、トレンチはフォトレジスト10でふさがれ、一方、ノズル構造体がウェーハのインク吐出面20の上に形成される。ノズル構成1が形成された後で、ウルトラディープエッチングによってウェーハのインク供給面30からフォトレジストプラグ10に向けておよびこれを過ぎてインク供給流路6が形成される。
図3を参照すると、フォトレジストプラグ10は入口8を形成するために最終的に取り去られる。この入口8はインク供給流路6とノズルチャンバ3との間の流体接続を可能にする。また、図2および図3は、ウェーハ5と相互接続7との間に形成されたCMOS駆動回路9も示す。
インク供給流路の「背面エッチング」は、200μm長さのインク供給流路全体をレジストで充填することおよび除去することを回避し、一方、ウェーハ内のノズル構造体はリソグラフィ的に形成される。しかしながら、このような方法によるインク供給流路の背面エッチングに関連していくつかの問題が存在する。第1に、インク供給面上のマスクは、エッチングされた流路がフォトレジストでふさがれたトレンチに合流し、駆動回路9を損傷しないように注意深く位置合わせされる必要がある。第2に、このエッチングは約200μmの深さまで垂直で、異方性である必要がある。
超深さのトレンチをシリコンの中にエッチングするためのいくつかの方法が当技術分野で知られている。すべてのこれらの方法は、ガスプラズマを使用したディープ反応性イオンエッチング(DRIE)を含む。適切なマスクをその上に配置した半導体基板がプラズマリアクター内の下側電極の上に置かれ、混合ガスから形成されたイオン化したガスプラズマに曝される。イオン化したプラズマガス(通常、正に帯電した)は、電極に印加されたバイアス電圧によって基板に向けて加速される。このプラズマガスは、物理的衝突、化学反応、またはその両方の組合せのいずれかにより基板をエッチングする。通常、シリコンのエッチングは、SiFなどの揮発性のシリコンハライドの形成によって最終的に達成され、この揮発性のシリコンハライドは、ヘリウムなどの軽い不活性キャリアガスによってエッチングの先端から運び去られる。
通常、異方性エッチングは、トレンチが形成されるのに並行してトレンチの底面および側壁の上にパッシベーション層を堆積することにより、およびガスプラズマを使用してこのトレンチの底面を選択的にエッチングすることにより達成される。
ウルトラディープ異方性エッチングを達成するために最も広く使用される方法は、米国特許第5,501,893号および米国特許第6,284,148号に記載されている「ボッシュプロセス」である。この方法は、ポリマーの堆積とエッチングのステップを交互に行うことを含む。浅いトレンチを形成した後で、第1のポリマー堆積ステップがトレンチの底面および側壁の上にポリマーを堆積させる。このポリマーは、不活性ガスの存在下でまたはそれがない状態で、フルオロカーボンガス(例えばCHF3、またはC)から形成されたガスプラズマによって堆積される。後に続くエッチングのステップで、このプラズマガス混合物はSF/Arに変更される。トレンチの底面に堆積されたポリマーがエッチングのステップでイオンのアシストによって急速に分解される一方、側壁は保護されたままに残る。このようにして異方性エッチングが達成されることができる。
しかしながら、ボッシュプロセスの主たる不利は、ポリマーの堆積およびエッチングのステップが交互に行われる必要があることであり、これはプラズマのガス組成を連続的に交替させ、それによってエッチング速度を遅延させることを意味する。この交替は、結果として、ホタテガイの形をした表面形成によって特徴付けられる不均一なトレンチの側壁をもたらす。
ボッシュプロセスのさらなる不利は、それがトレンチの側壁上に疎水性のフルオロカーボンポリマーのコーティング(「ボッシュポリマー」)を残すことである。インクジェットプリンタヘッド用のインク流路においては、インクが毛管作用によってインク供給流路内に引き込まれるように親水性の側壁を形成することが望ましい。
今までは、このようなポリマーのコーティングは、Oアッシングまたは「湿式」洗浄のいずれかによって除去されていた。「ボッシュポリマー」残渣を除去するための標準の工業的方法は、EKC(商標)湿式洗浄を使用し、その後でDIすすぎ洗浄およびスピン乾燥を行うことである。しかし、OアッシングおよびEKC(商標)洗浄は共に、シリコンウェーハのMEMSプロセス中に深刻な問題を招く。通常、MEMS工場で処理されるシリコンウェーハは、Revalpha(商標)熱リリーステープなどのリリーステープを使用してガラスハンドルウェーハなどのハンドルウェーハに接合される。このハンドルウェーハは、ウェーハの前面にすでに製作された任意の傷つきやすい構造体(例えばインクジェットノズル)を傷めることなく、該シリコンウェーハが背面処理ステップ中に扱われ得るために必要である。上に説明したように、通常、インクジェットプリンタヘッドのMEMS製造においては、最初にノズルがウェーハの前面の上に形成され、次いでインク供給流路がウェーハの背面からエッチングされる。通常、背面処理ステップ(例えばウェーハ研削およびエッチング)を実行する前に、保護用フォトレジストコーティングを有するウェーハの前面は熱リリーステープを使用してハンドルウェーハに接合される。熱リリーステープは、一方の面上に熱リリース接着剤を有する膜を備える。熱リリース接着剤は、背面処理ステップが完了した後で、制御された加熱によりシリコンウェーハをハンドルウェーハから都合よく取り外せるようにする。
しかしながら、標準のOアッシング炉は、標準の熱リリーステープのリリース温度(約160〜180℃)よりもはるかに高い約220〜240℃で作動される。この結果、シリコンウェーハは、標準のアッシング炉内のOアッシング中にハンドルウェーハから層間剥離する。アッシング炉の温度を下げることは、容認できないほどアッシング速度を遅らせ、エッチングされた形状部を備えた側壁上にコーティングされた任意のポリマー残渣の完全な除去を保証しない。
さらに、標準のEKC(商標)洗浄は、熱リリーステープを化学的に傷める傾向があり、また非常に望ましくない層間剥離を引き起こす傾向がある。
ウルトラディープ異方性エッチングに伴う付加的な問題は、エッチングの先端がフォトレジストプラグ10に出会ったときに異方性が失われる傾向にあることである。図2および図3は、エッチングの先端が異方性を持ってエッチングを続け、エッチングの先端がフォトレジストに出会ったときにはフォトレジストプラグ10と同一平面になるという理想化された製造プロセスを示す。実際にはしかし、図4を参照すると、エッチングの先端がフォトレジストプラグ10に出会ったときに、エッチングは放射状に外側に向かって張り出し、フォトレジストプラグの周りにスパイク状の周縁13を残す。エッチングの先端がフォトレジストプラグに出会った場所での放射状の張出しは、フォトレジストの上に築かれた電荷とプラズマ中の荷電イオンとの間の相互の電荷の反発作用によるものと考えられている。この放射状に張り出したエッチングの末端12およびこれに対応したスパイク状の周縁13は、図4に示される。
フォトレジストが除去された時に、最終的なプリンタヘッド集積回路の中に放射状に張り出したエッチング末端12があることは望ましくない(図5)。この張り出したエッチング末端12はポケットとして作用し、これがゆっくり移動するインクまたはガスのバブルを閉じ込める場合がある。これは、インク流路6から入口8へのインクの流れおよびノズルのダウンストリームの途絶を引き起こす可能性がある。
さらに、近づいてくるインクの流れに向き合うスパイク状の縁13は、バルクウェーハ5によって相対的に弱く支持され、1つまたは複数の小片に容易に折れるおそれがある。インク流れの中に小片が生成されることはきわめて望ましくなく、通常、ノズルダウンストリームの故障という結果になろう。
エッチングされたトレンチの角張ったまたはスパイク状の表面形状部を露出させ、それによってその形状部の修正を容易にするプロセスを提供することが望ましいであろう。そのプロセスで形成されたインク流路が改良された表面形状を有する、プリンタヘッド集積回路を製造するためのプロセスを提供することはさらに望ましいであろう。同様に、トレンチの側壁にエッチング中に堆積した疎水性のポリマー層を除去するための代替のプロセスを提供することもまた望ましいであろう。業界標準の熱リリーステープと両立でき、層間剥離を引き起こすことのないポリマーを除去するためのプロセスを提供することはさらに望ましいであろう。そのプロセスで形成されたインク流路が改良された表面特性を有する、プリンタヘッド集積回路を製造するためのプロセスを提供することはさらに望ましいであろう。
第1の態様において、シリコンウェーハ内に画成されたエッチングされたトレンチの側壁からポリマーのコーティングを除去する方法が提供され、上述の方法は、Oプラズマを使用してバイアスプラズマエッチングチャンバ内で上述のウェーハをエッチングするステップを含み、上述のチャンバの温度は90から180℃の範囲にある。
第2の態様において、シリコンウェーハ内のトレンチをエッチングする方法が提供され、上述の方法は、
(a)上述のシリコンウェーハの前面をハンドルウェーハに接合するステップと、
(b)トレンチを形成するために異方性DRIEプロセスを使用してウェーハの背面をエッチングするステップであって、上述のDRIEプロセスはエッチングステップおよびパッシベーションステップを交互に行うことを含み、上述のパッシベーションステップは上述のトレンチの側壁上にポリマーのコーティングを堆積させることを含む、ステップと、
(c)Oプラズマを使用してバイアスプラズマエッチングチャンバ内で上述のウェーハをエッチングすることにより上述のポリマーのコーティングを除去するステップと、
を含み、上述のチャンバの温度は100から180℃の範囲にある。
第3の態様において、本発明はエッチングされたトレンチの修正を容易にするプロセスを提供し、このプロセスは、
(a)その底面にフォトレジストプラグを有する少なくとも1つのエッチングされたトレンチを備えたウェーハを用意するステップと、
(b)このウェーハをバイアス酸素プラズマエッチングにかけることによってフォトレジストの一部を除去するステップと、
を含む。
第4の態様において、本発明は、複数のノズルと、吐出アクチュエータと、関連する駆動回路と、インク供給流路とを備えたインクジェットプリンタヘッド集積回路を製造する方法を提供し、この方法は、
(i)前面液滴吐出面および背面インク供給面を有するウェーハを用意するステップと、
(ii)ウェーハの液滴吐出面の中に複数のトレンチを部分的にエッチングするステップと、
(iii)トレンチのそれぞれをフォトレジストプラグで充填するステップと、
(iv)リソグラフィで形成したマスクを用いるエッチング技術を使用して、複数の対応するノズルと、吐出アクチュエータと、関連する駆動回路とをウェーハの液滴吐出面の上に形成するステップと、
(v)複数の対応するインク供給流路を、ウェーハのインク供給面からフォトレジストプラグに向けておよびこれを過ぎて、背面エッチングするステップと、
(vi)ウェーハをバイアス酸素プラズマエッチングにかけることによって各フォトレジストプラグの一部を除去し、それによりインク供給流路内の角張った側壁の形状部を露出させるステップと、
(vii)露出された角張った側壁の形状部を修正するステップと、
(viii)ノズル入口を形成するためにフォトレジストプラグをトレンチから取り去り、それによりインク供給面とノズルとの間の流体接続を可能にするステップと、
を含む。
第5の態様において、本発明は、上に説明した方法によって製造されるインクジェットプリンタヘッド集積回路を提供する。
本出願の第1および第2の態様による方法は、有利には、シリコンウェーハを過熱することなく、疎水性のポリマーの側壁の残渣(例えば、「ボッシュポリマー」)がトレンチから除去されることを可能にする。シリコンウェーハの過熱は、例えばフォトレジストの膨張により加えられた応力によって、ウェーハ上にすでに形成された傷つきやすいMEMS構造体の損傷を引き起こすことがある。さらに、過熱は、一般に、シリコンウェーハが通常接合されているハンドルウェーハからこのウェーハを層間剥離させる原因となる。したがって、潜在的損傷の危険のあるEKC(商標)洗浄またはOプラズマアッシングを使用しないでポリマーの残渣が除去されることが可能であることは本発明の利点である。このポリマーのコーティングは、より親水性のある酸化シリコン層によって一般に置き換えられる。
プラズマエッチングチャンバ内でバイアスをかけられるOプラズマ源を使用することにより、このOプラズマは、深いトレンチ(例えば深さ100ミクロン超)内にあるポリマー残渣を標準の熱リリーステープのリリース温度未満の温度で除去するのに十分なエネルギーを有する。
本出願の第3、第4および第5の態様に関連して、今までは、MEMS技術により製造されたプリンタヘッドのインク供給流路の表面形状の重要性が十分に理解されていなかった。近年、いくつかのウルトラディープエッチング技術が使用できるようになったが、これらのいずれもが、フォトレジストプラグに向かって(およびそれを過ぎて)背面エッチングする場合の上に指摘した問題に取り組んでいない。特に、側壁内に形成された鋭く、潜在的に壊れやすい周縁の問題が取り組まれてこなかった。上に考察したように、この縁は、背面エッチング中にエッチングの先端がフォトレジストプラグの周りに放射状に張り出すのに並行して形成される(図4および図5を参照)。
エッチングの先端がフォトレジストプラグの周りに放射状に張り出す問題は、特殊なエッチング技術によってある程度処理することができる。このような特殊なエッチング技術の1つの例が、米国特許第6,187,685号(Surface Technology Systemsに譲渡された)に記載されている。しかしながら、この米国特許第6,187,685号に記載された技術は、張出しの角度を垂直に対して相対的に減少させられるものの、フォトレジストプラグの周りの周縁の形成を未だ完全に解消してはいない。実際は、張出しの角度を減少させることによって結果として生じる縁がより薄くされるために、縁の壊れやすさが実際には高まる可能性があり、それにより、側壁から小片が折れてノズルを塞ぐ可能性を高める。
本発明は、この周縁の形成をなくすことを試みるのではなく、エッチングの後のステップで周縁の除去を容易にするための方法を提供する。本発明の方法において、ディープまたはウルトラディープエッチング中にプラグの周囲に形成された周縁を露出するようにフォトレジストプラグの一部が除去される。露出されると、この周縁は、イオンミリングにより滑らかにされまたは丸みを付けられることができる。したがって、本発明のプロセスにより製作されたプリンタヘッドは、一般に、そのインク供給流路を通る改良されたインク流れを示し、ウェーハの小片がノズルを塞いだりまたは損傷させたりすることにより引き起こされるノズル故障のリスクを最小化する。
[本発明の任意で与えられる特徴]
酸素プラズマエッチングは通常、誘導結合プラズマ(ICP)エッチングリアクターなどのプラズマエッチングリアクター内で行われる。「プラズマエッチングリアクター」および「プラズマエッチングチャンバ」という用語は、完全に同義の技術用語である。
プラズマエッチングリアクターは当技術分野でよく知られており、様々な供給元(例えば、Surface Technology Systems、PLC)から市販されている。通常、このエッチングリアクターはアルミニウム、ガラスまたは石英から形成されたチャンバを備え、一対の平行な電極板を含む。しかし、リアクターの他の設計も使用でき、本発明は任意のタイプのプラズマエッチングリアクターの使用に適している。
チャンバに導入されたガス混合物をイオン化するために高周波(RF)エネルギー源が使用される。結果として生じるイオン化されたガスプラズマは、下側電極(静電式チャックまたはプラテンとも呼ばれる)上に配置された基板に向けてバイアス電圧によって加速される。したがって、このプラズマエッチングチャンバは、ガスプラズマが基板方向に向けられるバイアスエッチングのために使用されることができる。様々な制御手段が、ICP電力、バイアス電力、RFイオン化エネルギー、基板温度、チャンバ圧力、チャンバ温度などを制御するために提供されている。
本発明において、これらのプラズマエッチングチャンバのパラメータは、トレンチの側壁からのポリマーのコーティングの完全な除去を達成するために最適化されることができる。場合によってバイアス電力は10から100W(好ましくは30から70W)の範囲にあり、ICP電力は場合により1000から3000W(または2000から3000W)の範囲にあり、チャンバ温度は場合により90から180℃(または90から150℃、または120から150℃)の範囲にあり、O流量は場合により20から200sccm(好ましくは60から100sccm)の範囲にあり、およびチャンバ圧力は場合により5から200mTorr(場合により20から140mTorr、または場合により60から100mTorr)の範囲にある。エッチング時間は通常は20から200分、30から200分または40から80分の範囲にある。
場合により、本プロセスはフォトレジストプラグの露出された背面から約1から15μmの深さを除去し、または場合によっては約2から7μmの深さを除去する。この除去された部分は全体のプラグ容積の10%まで、20%まで、または50%までであってよい。通常、本発明のプロセスにより除去されるフォトレジストの総量は、オリジナルの無傷のプラグの少なくとも一部の周りに以前のエッチングプロセスによって形成された周縁の内側の面を露出させるのに十分であろう。
場合により本発明のプロセスは、上に説明した周縁などの露出された角張った形状部をイオンミリングするさらなるステップを含む。通常、角張った表面形状部はイオンミリングにより先細にされ、滑らかにされ、および/または丸みを付けられる。したがって、これらの形状部のそばを通って流れるインクは、角張った面よりも曲面に接近し、これはインクが過剰な乱流および/または気泡を発生させずに滑らかに流れることができることを意味する。さらに、結果として生じる丸みを付けられ、滑らかにされ、および/または先細にされた形状部は壊れ難くなり、したがって小片が折れてノズルチャンバに入ることによるノズルの故障を起こす可能性がより少なくなる。
イオンミリングは通常、上で説明した誘導結合プラズマエッチングリアクターなどのプラズマエッチングリアクターの中で行われる。
場合によりイオンミリングは、アルゴン、クリプトンまたはキセノンから選ばれた重い不活性ガスを使用して行われる。好ましくはこの不活性ガスはアルゴンであり、それはアルゴンが相対的に安い費用で広く入手可能であり、およびその相対的に高い質量により優れたスパッタリング特性を有するからである。通常、アルゴンイオンプラズマはプラズマエッチングリアクターの中で生成され、このアルゴンイオンは、その内部にエッチングされたインク供給流路を有するシリコンウェーハに向かって垂直に加速される。
プラズマリアクターのパラメータは、ウェーハの最適なイオンミリングを達成するために変更されることが可能である。場合により、バイアス電力は100から500W(好ましくは200から400W)の範囲にあり、ICP電力は1000から3000W(好ましくは約2500W)の範囲にあり、チャンバ温度は90から150℃(好ましくは約120℃)の範囲にあり、Arの流量は20から200sccm(好ましくは80から120sccm)の範囲にある。ミリング時間は通常5から100分、10から60分、または10から30分の範囲にある。
イオンミリングは任意の適切な圧力で行われてよい。通常、この圧力は2から2000mTorrの範囲にある。言い換えると、イオンミリングは低い圧力(約2から250mTorr)で行われてもよいし、または高い圧力(約250から2000mTorr)で行われてもよい。
低圧イオンミリングは、大部分の市販のプラズマエッチングリアクターが低圧エッチング用に構成されているという利点を有する。したがって、低圧イオンミリングは任意の特殊な装置を必要としない。
しかし、また、イオンミリングは高い圧力で行われてもよい。高圧イオンミリングは、より急勾配な先細りを通常得ることができるという利点を有する。急な勾配角度を形成するために高圧イオンミリングを使用する原理は次のように理解されよう。一般に、スパッタエッチングは、高いスパッタエッチング効率を達成するために相対的に低い圧力(例えば約5から250mTorr)で行われる。このような低い圧力はその表面からスパッタされたシリコン原子に対してほとんど衝突のない経路をもたらし、それによりエッチング効率を最適化する。
低い圧力でなく高い圧力でスパッタエッチングを行うことにより、スパッタされた(反射された)シリコン原子は近寄ってくるアルゴンイオンとプラズマガスの中でより高い確率で衝突するから、スパッタされたシリコン原子の平均自由行程は減少する。この結果、ガス状の雲が基板表面上に形成され、これが反射されたシリコン原子をシリコン基板の表面上に戻して再堆積させる。反射されたシリコン原子の正味の堆積の増加がより深い場所で起こり、このことにより側壁の角張った表面形状部がしだいにより先細になるという結果になる。
参照により本明細書に組み込まれる米国特許第5,888,901号は、スパッタリングガスとしてアルゴンを使用してSiOの誘電性の表面の高圧イオンミリングについて記載している。米国特許第5,888,901号に記載されたこの方法は、シリコン内部にエッチングされたウルトラディープ流路の側壁上の角張った表面形状部を先細にするというよりは、SiOの誘電性の表面層に勾配を付けるために使用されているけれども、この方法は、本発明のプロセスに容易に変更され適用されることができる。
一般に、本発明においては低圧イオンミリング(例えば、5から50mTorr)が好ましいが、その理由は、本発明のイオンミリングが全体の側壁の形状部を先細にするのではなく、改良されたインク流れを達成するために角張った側壁の形状部に丸みを付けることが通常必要なだけであるからである。さらに、低圧イオンミリングは何ら特殊な装置を必要とせず、したがって、代表的なプリンタヘッド製造工程に容易に組み込まれることができる。
場合により、各インク供給流路は100から300μmの範囲の深さ、場合により150から250μmの範囲の深さ、または場合により約200μmの深さを有する。インク供給流路の幅/長さの寸法はかなり変化してよい。場合により、各インク供給流路は5から30μm、場合により14から28μm、または場合により17から25μmの範囲の直径を有する実質的に円筒である。これらの設計では、通常、エッチングの先端が側壁の前面フォトレジストプラグにぶつかるときに、エッチングの張出しはかなり大きい。別法として、各インク供給流路は40から120μm(または60から100μm)の幅を有し、および200μm超または500μm超の長さを有する。このようなより広い流路設計では、通常、エッチング先端からの電荷の拡散によってエッチングの張出しは小さい。エッチングの張出しのこの回避にもかかわらず、本発明は、バイアス酸素プラズマエッチングによってトレンチの側壁からポリマーのコーティングを除去するためになお使用される。
場合により各ノズル入口は、5から40μm、場合により10から30μm、または場合により15から25μmの範囲の深さを有する。場合により各ノズル入口は、3から28μm、場合により8から24μm、または場合により12から20μmの範囲の直径を有する実質的に円筒形である。別法として、各ノズル入口は5から40μmの範囲の幅/長さの寸法を有する実質的に立方体である。
通常、各インク供給流路はその対応するノズル入口よりも大きい直径を有し、本発明のプロセスは、この入口とインク供給流路との接合部によって画成される肩を先細にし、滑らかにし、および/または丸みを付けるために使用されてよい。
さらなる態様において、本発明はエッチングされたトレンチの修正を容易にするプロセスを提供し、このプロセスは、
(a)その底面にフォトレジストプラグを有する少なくとも1つのエッチングされたトレンチを備えたウェーハを用意するステップと、
(b)このウェーハをバイアス酸素プラズマエッチングにかけることによりフォトレジストの一部分を除去するステップと、
を含む。
場合により、このウェーハは複数のエッチングされたトレンチを備える。
場合により、バイアス酸素プラズマエッチングはフォトレジストプラグの露出された表面から1から15μmの深さを除去する。
場合により、フォトレジストの一部の除去がトレンチの側壁内の角張った形状部を露出させる。
場合により、このプロセスは、
(c)露出された角張った形状部をイオンミリングするステップ、
をさらに含む。
場合により、トレンチの側壁はバイアス酸素プラズマエッチングにより付随的に(concomitantly)親水化される。
場合により、付随的な親水化はトレンチの側壁からポリマー層を除去することを含む。
場合により、バイアス酸素プラズマエッチングはプラズマエッチングリアクター内で行われる。
場合により、プラズマエッチングリアクターは10から100Wの範囲のバイアス電力を有する。
場合により、プラズマエッチングリアクターは20から140mTorrの範囲のチャンバ圧力を有する。
場合により、このプロセスはプリンタヘッド製造プロセスの一部を形成する。
場合により、エッチングされたトレンチはプリンタヘッド用のインク供給流路である。
場合により、インク供給流路は100から300μmの範囲の深さを有する。
さらなる態様において、本発明は、複数のノズルと、吐出アクチュエータと、関連する駆動回路と、インク供給流路とを備えたインクジェットプリンタヘッド集積回路を製造する方法を提供し、この方法は、
(i)前面液滴吐出面および背面インク供給面を有するウェーハを用意するステップと、
(ii)ウェーハの液滴吐出面の中に複数のトレンチを部分的にエッチングするステップと、
(iii)トレンチのそれぞれをフォトレジストプラグで充填するステップと、
(iv)リソグラフィで形成したマスクを用いるエッチング技術を使用して、複数の対応するノズルと、吐出アクチュエータと、関連する駆動回路とをウェーハの液滴吐出面上に形成するステップと、
(v)複数の対応するインク供給流路を、ウェーハのインク供給面からフォトレジストプラグに向けておよびこれを過ぎて背面エッチングするステップと、
(vi)ウェーハをバイアス酸素プラズマエッチングにかけることによって各フォトレジストプラグの一部を除去し、それによりインク供給流路内の角張った側壁の形状部を露出させるステップと、
(vii)露出された角張った側壁の形状部を修正するステップと、
(viii)ノズル入口を形成するためにフォトレジストプラグをトレンチから取り去り、それによりインク供給面とノズルとの間の流体接続を可能にするステップと、
を含む。
場合により、角張った側壁の形状部は、フォトレジストプラグの少なくとも一部の周りの周縁を含み、この周縁は各プラグの一部を除去することにより露出される。
場合により、露出された角張った側壁の形状部はアルゴンイオンミリングによって修正される。
場合により、アルゴンイオンミリングは、露出された角張った側壁の形状部を滑らかにし、および/または丸みを付ける。
場合により、アルゴンイオンミリングはプラズマエッチングリアクターの中で行われる。
場合により、インク供給流路の側壁はバイアス酸素プラズマエッチングにより付随的に親水化される。
さらなる態様において、上記の方法によって製造されたインクジェットプリンタヘッド集積回路が提供される。
さらなる態様において、シリコンウェーハ内に画成されたエッチングされたトレンチの側壁からポリマーのコーティングを除去する方法が提供され、上述の方法は、Oプラズマを使用してバイアスプラズマエッチングチャンバ内で上述のウェーハをエッチングするステップを含み、そのチャンバ温度は90から180℃の範囲にある。
場合により、上述のエッチングチャンバは誘導結合プラズマ(ICP)エッチングチャンバである。
場合により、ICP電力は2000から3000Wの範囲にある。
場合により、上述のウェーハはプラテンに接合され、バイアスプラテン電力は10から100Wの範囲にある。
場合により、上述のチャンバ温度は120から150℃の範囲にある。
場合により、チャンバ圧力は30から120mTorrの範囲にある。
場合により、チャンバ圧力は60から100mTorrの範囲にある。
場合により、上述のプラテンは5から20℃の範囲の温度を有する。
場合により、上述のプラテンは背面ヘリウム冷却を使用して冷却される。
場合により、上述のチャンバへの酸素の流量は20から200sccmの範囲にある。
場合により、エッチング時間は40から80分の範囲にある。
場合により、上述のポリマーのコーティングは疎水性のフルオロポリマーである。
場合により、上述のトレンチはインクジェットプリンタヘッド用のインク供給流路である。
場合により、上述のインク供給流路は20から120ミクロンの範囲の幅を有する。
場合により、上述のインク供給流路は少なくとも100ミクロンの深さを有する。
場合により、上述のシリコンウェーハの前面は接着テープを使用してハンドルウェーハに接合され、上述のエッチングされたトレンチは上述のウェーハの背面に画成される。
場合により、上述の接着テープは熱リリーステープである。
場合により、上述の接着テープは上述の酸素プラズマエッチングにより影響を受けない。
場合により、上述の酸素プラズマエッチングは、上述のシリコンウェーハからの上述のハンドルウェーハの層間剥離を引き起こさない。
さらなる態様において、シリコンウェーハ内にトレンチをエッチングする方法が提供され、この方法は、
(a)上述のシリコンウェーハの前面をハンドルウェーハに接合するステップと、
(b)トレンチを形成するために異方性DRIEプロセスを使用して上述のウェーハの背面をエッチングするステップであって、上述のDRIEプロセスはエッチングステップおよびパッシベーションステップを交互に行うことを含み、上述のパッシベーションステップは上述のトレンチの側壁上にポリマーのコーティングを堆積させることを含む、ステップと、
(c)Oプラズマを使用してバイアスプラズマエッチングチャンバ内で上述のウェーハをエッチングすることにより上述のポリマーのコーティングを除去するステップと、
を含み、上述のチャンバの温度は90から180℃の範囲にある。
図14を参照すると、MEMSノズル構造体50がウェーハ5の前面の上に製造された後で、この前面はフォトレジスト51からなる保護層によりコーティングされ、ガラスハンドルウェーハ52に接合されている。ガラスハンドルウェーハ52は、該ガラスハンドルウェーハ52に接着される恒久的接着層54、および保護用フォトレジスト51に接着される熱リリース接着層55を有する熱リリーステープ53を使用して接合される。ガラスハンドルウェーハ52の最も外側の面は、プラズマエッチングチャンバ内での静電式プラテンとの接合のためにメタライズされる。
ガラスハンドルウェーハ52がウェーハ5に固定された状態で、このウェーハ5は、背面のインク供給流路を画成するためにプラズマエッチングチャンバ内で背面エッチングされる。任意の標準的な異方性DIREプロセス(例えばボッシュエッチング)が背面エッチングのために使用されることができる。
図4を参照して、ウェーハ5のインク供給面30(背面)からフォトレジストプラグ10に向けておよびそれを過ぎて背面エッチングされた後の、部分的に製造されたプリンタヘッド集積回路が示される。背面エッチング中にエッチングの先端がプラグ10にぶつかったときに、このエッチングは放射状に外側に向かって張り出し、放射状に張り出したエッチング末端12と、プラグの一部の周りに対応する周縁13とを形成する。
図6を参照すると、図4に示された背面エッチングされたウェーハは、バイアス酸素プラズマエッチングにかけられる。このバイアス酸素プラズマエッチングは、適切に制御されたガス流量、バイアス電力、ICP電力、チャンバ圧力およびエッチング時間を使用してプラズマエッチングチャンバ内で行われる。バイアス酸素プラズマエッチングは、フォトレジストプラグ10の一部を除去する。この除去された部分はスパイク状の周縁13を露出させるのに十分である。
加えて、バイアス酸素プラズマエッチングは、インク供給流路6の側壁から任意の疎水性のフルオロカーボンポリマーを除去し、それをSiOからなる親水性の層に置き換える。通常、インク供給流路6の側壁上へのフルオロカーボンポリマーのコーティングは、異方性DIREプロセス中に形成される。フルオロカーボン層を親水性のSiO層に置き換えることにより、ウェーハ5をハンドルウェーハ52に固定する熱リリーステープに影響を与えることなく、インク供給流路6の表面特性が全般的に改良される。特に、通常の化学的湿式洗浄プロセスおよび/または高温アッシングを避けることによって、層間剥離が回避される。
図7を参照すると、図6に示されたウェーハ5は、プラズマエッチングリアクター内でアルゴンイオンミリングにかけられる。プラズマエッチングリアクターの最適な動作パラメータは当業者により容易に決定されよう。露出された周縁13はアルゴンイオンミリング中に平滑化され、これはインク供給流路6の堅牢性および表面形状を向上させる。
周縁13がどの程度に平滑化され、丸みをつけられおよび/または先細にされるかは、プラズマエッチングリアクター内の圧力、バイアス電力および/またはミリング時間に依存するであろう。この縁13は、アルゴンイオンミリングによって丸みを付けられてもよいし(図7に示されたように)、または、完全に先細にされてもよい。いずれの場合も、このスパイク状の突起の除去は流路6を通過するインク流れを全般的に改善し、小片が折れてノズルのダウンストリームを塞ぐ可能性を最小化する。
最後に、および図8を参照すると、図7に示されたウェーハはアッシングされ、これがフォトレジストプラグ10を完全に取り去り、入口8とインク供給流路6との間の流体接続を可能にする。
厚さ200μmのシリコンウェーハが次のようにして準備された。標準的な異方性DRIEプロセスを使用して、直径14μm、深さ28μmを有するトレンチがウェーハの前面にエッチングされた。前面プラグを形成するためにトレンチはフォトレジストで充填された。ウェーハの前面がガラスハンドルウェーハに接合され、次いで、前面プラグの背面の5μmの範囲内までウェーハの背面がエッチングされた。この場合も、背面エッチングのために標準的な異方性DRIEプロセスが使用される。背面エッチングされた主トレンチは、深さ約170μmおよび直径約21μmを有していた。図9は、前面プラグの5μmの範囲内まで背面エッチングされた後のシリコンウェーハの走査型電子顕微鏡(SEM)写真である。
背面エッチングは、異方性エッチングの状態で前面プラグの背面に向けおよび前面プラグを約5μm過ぎたところまで継続された。図10は、前面プラグを過ぎて背面エッチングした後のトレンチの一部分のSEM顕微鏡写真である。図10に示されるように、スパイク状の周縁が前面プラグの周りに延在し、ここでは、背面エッチングは、前面プラグに対して完全に同一平面にエッチングしていなかった。
上に準備したシリコンウェーハは、次いで本発明の方法によりエッチングされた。
標準的な誘導結合プラズマDRIEリアクター(Surface Technology Systemsにより供給される「HRM Pro」ツール)が以下のように構成された:
ICP最高電力: 2500W
バイアス電力: 50W
プラズマガス: O
チャンバ温度: 120℃
冷却: 背面ヘリウム冷却、9.5Torr、10℃
チャンバ圧力: 80mTorr
流量: 80sccm
ウェーハはこれらの条件下で60分間背面エッチングされた。エッチングは、前面プラグの背面から深さ7μmを有する部分を除去した。エッチング中、主トレンチの側壁上に堆積されたポリマー層もまた除去された。図11および図12はこのシリコンウェーハの一部のSEM顕微鏡写真であり、酸素プラズマエッチングにより除去された前面プラグの7μmの部分を詳細に示している。図12はまた、厚さ1.7μmで、ウェーハの背面に向かって延在するスパイク状の円周方向の突起(かつては前面プラグの周りの縁)を示す。
酸素プラズマエッチングの後で、プラズマリアクターが以下のように再構成された;
ICP最高電力: 2500W
バイアス電力: 300W
プラズマガス: Ar
チャンバ温度: 120℃
冷却: 背面ヘリウム冷却、9.5Torr、10℃
チャンバ圧力: 10mTorr
流量: 100sccm
ウェーハはこれらの条件で15〜20分間背面エッチング(「イオンミリング」)された。図13は、結果として得られたトレンチのSEM顕微鏡写真であり、スパイク状の円周方向の突起が平滑な面に丸みを付けられたことを示す。フォトレジストが取り去られると、前面エッチングされたトレンチと背面エッチングされたトレンチとの接合部においてスパイク状の突起がなくなることは、結果として生じる流路の表面形状をかなり改善する。
本発明が純粋に実施例によって説明されたこと、および細部の変更が添付の特許請求の範囲によって定義される本発明の範囲内で行うことができることは、当然、理解されるであろう。
プリンタヘッド用プリンタヘッドノズル構成の斜視図である。 アクチュエータアセンブリが取り外されインク供給流路が露出された状態の、理想化され部分的に製造されたプリンタヘッドノズル構成の切欠き斜視図である。 フォトレジストプラグを取り去った後の、図2に示されたプリンタヘッドノズル構成の切欠き斜視図である。 アクチュエータアセンブリが取り外されインク供給流路が露出された状態の、部分的に製造された従来技術のプリンタヘッドノズル構成の切欠き斜視図である。 フォトレジストプラグを取り去った後の、図4に示された従来技術のプリンタヘッドノズル構成の切欠き斜視図である。 アクチュエータアセンブリが取り外されインク供給流路が露出された状態の、本発明による部分的に製造されたプリンタヘッドノズル構成の切欠き斜視図である。 周縁をイオンミリングした後の、図6に示された部分的に製造されたプリンタヘッドノズル構成の切欠き斜視図である。 フォトレジストを取り去った後の、図6に示された部分的に製造されたプリンタヘッドノズル構成の切欠き斜視図である。 対応する前面プラグの5μmの範囲内まで背面エッチングされた12個のトレンチを有するシリコンウェーハのSEM顕微鏡写真である。 前面プラグを5μm過ぎた所まで背面エッチングされたトレンチを示すシリコンウェーハの拡大SEM顕微鏡写真である。 本発明によるバイアス酸素プラズマエッチング後のトレンチのSEM顕微鏡写真である。 図11の拡大図である。 アルゴンイオンミリング後のトレンチのSEM顕微鏡写真である。 ガラスハンドルウェーハに接合されたシリコンウェーハの側面図である。

Claims (6)

  1. 複数のノズルと、吐出アクチュエータと、関連する駆動回路と、インク供給流路とを備えたインクジェットプリンタヘッド集積回路を製造する方法であって、
    (i)前面液滴吐出面および背面インク供給面を有するウェーハを用意するステップと、
    (ii)前記ウェーハの前記液滴吐出面の中に複数のトレンチを部分的にエッチングするステップと、
    (iii)前記トレンチのそれぞれをフォトレジストプラグで充填するステップと、
    (iv)リソグラフィで形成したマスクを用いるエッチング技術を使用して、複数の対応するノズルと、吐出アクチュエータと、関連する駆動回路とを、前記ウェーハの前記液滴吐出面の上に形成するステップと、
    (v)複数の対応するインク供給流路を、前記ウェーハの前記インク供給面から前記フォトレジストプラグに向けておよびそれを過ぎて背面エッチングするステップと、
    (vi)前記ウェーハをバイアス酸素プラズマエッチングにかけることによって各フォトレジストプラグの一部を除去し、それにより前記インク供給流路内の角張った側壁の形状部を露出させるステップと、
    (vii)前記露出された角張った側壁の形状部をアルゴンイオンミリングによって修正するステップと、
    (viii)ノズル入口を形成するために前記フォトレジストプラグを前記トレンチから取り去り、それにより前記インク供給面と前記ノズルとの間の流体接続を可能にするステップと、
    を含み、
    前記アルゴンイオンミリングは、前記露出された角張った側壁の形状部を滑らかにする処理と、前記露出された角張った側壁の形状部に丸みを付ける処理、のうち一方または両方を含み、
    前記アルゴンイオンミリングはプラズマエッチングリアクターの中で行われ、
    前記プラズマエッチングリアクターは、200〜400Wの範囲のバイアス電力を有し、10mTorrの範囲のチャンバ圧力を有する、
    ことを特徴とする方法。
  2. 前記角張った側壁の形状部は、前記フォトレジストプラグの少なくとも一部の周りの周縁を含み、前記周縁は各プラグの一部を除去することにより露出される請求項1に記載の方法。
  3. 前記バイアス酸素プラズマは、各フォトレジストプラグの露出された背面から1〜15μmの深さを除去する請求項1に記載の方法。
  4. インク供給流路の側壁は、前記バイアス酸素プラズマエッチングにより付随的に親水化される請求項1に記載の方法。
  5. 前記トレンチは5〜40μmの範囲の深さを有する請求項1に記載の方法。
  6. 前記インク供給流路は100〜300μmの範囲の深さを有する請求項1に記載の方法。
JP2007534963A 2004-10-08 2005-10-04 インクジェットプリンタヘッド集積回路を製造する方法 Expired - Fee Related JP4638499B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
AU2004905800A AU2004905800A0 (en) 2004-10-08 Method and apparatus (MEMS26)
PCT/AU2005/001438 WO2006037151A2 (en) 2004-10-08 2005-10-04 Method of removing polymer coating from an etched trench

Publications (2)

Publication Number Publication Date
JP2008516429A JP2008516429A (ja) 2008-05-15
JP4638499B2 true JP4638499B2 (ja) 2011-02-23

Family

ID=36142894

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007534963A Expired - Fee Related JP4638499B2 (ja) 2004-10-08 2005-10-04 インクジェットプリンタヘッド集積回路を製造する方法

Country Status (6)

Country Link
US (4) US20060079095A1 (ja)
EP (1) EP1800334A4 (ja)
JP (1) JP4638499B2 (ja)
KR (1) KR100857629B1 (ja)
CN (1) CN100565815C (ja)
WO (1) WO2006037151A2 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100857629B1 (ko) * 2004-10-08 2008-09-08 실버브룩 리서치 피티와이 리미티드 에칭된 트렌치로부터 폴리머 코팅의 제거 방법
US20070286941A1 (en) * 2006-06-13 2007-12-13 Bin Huang Surface treatment of a polymeric stent
TW200806567A (en) * 2006-07-26 2008-02-01 Touch Micro System Tech Method of deep etching
CN101610909B (zh) * 2007-03-12 2010-12-29 西尔弗布鲁克研究股份有限公司 制造具有疏水喷墨面的打印头的方法以及打印头
US7998524B2 (en) 2007-12-10 2011-08-16 Abbott Cardiovascular Systems Inc. Methods to improve adhesion of polymer coatings over stents
JP2009194017A (ja) * 2008-02-12 2009-08-27 Elpida Memory Inc 半導体装置の製造方法
US8043933B2 (en) * 2008-11-24 2011-10-25 Applied Materials, Inc. Integration sequences with top surface profile modification
US8293647B2 (en) * 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US20110076853A1 (en) * 2009-09-28 2011-03-31 Magic Technologies, Inc. Novel process method for post plasma etch treatment
CN102456545A (zh) * 2010-10-21 2012-05-16 北京北方微电子基地设备工艺研究中心有限责任公司 图形化衬底的刻蚀方法
US9259932B2 (en) * 2011-05-27 2016-02-16 Hewlett-Packard Development Company, L.P. Assembly to selectively etch at inkjet printhead
US9000556B2 (en) 2011-10-07 2015-04-07 International Business Machines Corporation Lateral etch stop for NEMS release etch for high density NEMS/CMOS monolithic integration
US20130280831A1 (en) * 2012-04-24 2013-10-24 Kathleen M. Vaeth Permanently bonded fluid channel nozzle plate fabrication
CN103456623A (zh) * 2012-05-29 2013-12-18 上海宏力半导体制造有限公司 减少晶圆边缘聚合物沉积的刻蚀控制方法
DE102013223490B4 (de) 2013-11-18 2023-07-06 Robert Bosch Gmbh Verfahren zur Herstellung einer strukturierten Oberfläche
JP6333055B2 (ja) * 2014-05-13 2018-05-30 キヤノン株式会社 基板加工方法および液体吐出ヘッド用基板の製造方法
JP6456049B2 (ja) 2014-06-16 2019-01-23 キヤノン株式会社 貫通基板の形成方法
US9586400B2 (en) 2014-12-09 2017-03-07 Canon Kabushiki Kaisha Liquid discharge head, liquid discharge apparatus, and method of manufacturing liquid discharge head
JP6562789B2 (ja) 2015-09-10 2019-08-21 キヤノン株式会社 除去対象物の除去方法
CN107271232A (zh) * 2017-05-08 2017-10-20 上海大学 低温烧结纳米银浆热导率测试样品的制备方法
TW202114873A (zh) * 2019-06-03 2021-04-16 愛爾蘭商滿捷特科技公司 處理mems晶圓的方法
US11666918B2 (en) 2020-03-06 2023-06-06 Funai Electric Co., Ltd. Microfluidic chip, head, and dispensing device for dispensing fluids containing an acidic component
CN111834285B (zh) * 2020-07-20 2024-05-17 武汉新芯集成电路制造有限公司 半导体器件及其制造方法
CN115637432B (zh) * 2022-09-30 2023-08-22 东莞赛诺高德蚀刻科技有限公司 一种具有孔隙和高深宽比沟槽的工件制作方法及金属工件

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3057461B2 (ja) * 1992-04-07 2000-06-26 日本プレシジョン・サーキッツ株式会社 アッシング装置
US5453157A (en) * 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
ATE522926T1 (de) * 1997-02-14 2011-09-15 Imec Verfahren zur entfernung organischer kontamination von einer halbleiteroberfläche
US6273557B1 (en) * 1998-03-02 2001-08-14 Hewlett-Packard Company Micromachined ink feed channels for an inkjet printhead
US6492276B1 (en) * 1998-05-29 2002-12-10 Taiwan Semiconductor Manufacturing Company Hard masking method for forming residue free oxygen containing plasma etched layer
US6686292B1 (en) * 1998-12-28 2004-02-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming uniform linewidth residue free patterned composite silicon containing dielectric layer/silicon stack layer
JP4221859B2 (ja) * 1999-02-12 2009-02-12 株式会社デンソー 半導体装置の製造方法
AUPP922399A0 (en) * 1999-03-16 1999-04-15 Silverbrook Research Pty Ltd A method and apparatus (ij46p2)
US6583065B1 (en) * 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6498385B1 (en) * 1999-09-01 2002-12-24 International Business Machines Corporation Post-fuse blow corrosion prevention structure for copper fuses
DE19945140B4 (de) * 1999-09-21 2006-02-02 Infineon Technologies Ag Verfahren zur Herstellung einer Maskenschicht mit Öffnungen verkleinerter Breite
JP3676958B2 (ja) * 1999-12-28 2005-07-27 株式会社日立製作所 半導体集積回路装置の製造方法
JP2001212965A (ja) * 2000-02-07 2001-08-07 Casio Comput Co Ltd あな加工装置及びあな加工方法
US6457477B1 (en) * 2000-07-24 2002-10-01 Taiwan Semiconductor Manufacturing Company Method of cleaning a copper/porous low-k dual damascene etch
US6677242B1 (en) * 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
KR100743770B1 (ko) * 2000-12-05 2007-07-30 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
JP3959710B2 (ja) * 2002-02-01 2007-08-15 ソニー株式会社 固体撮像装置の製造方法
JP2003303808A (ja) * 2002-04-08 2003-10-24 Nec Electronics Corp 半導体装置の製造方法
KR100408847B1 (ko) * 2002-04-09 2003-12-06 아남반도체 주식회사 반도체 제조공정시 발생된 잔존물 제거방법
US6764810B2 (en) * 2002-04-25 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Method for dual-damascene formation using a via plug
US6846746B2 (en) * 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
KR20040005380A (ko) * 2002-07-10 2004-01-16 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성방법
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6569777B1 (en) * 2002-10-02 2003-05-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etching method to form dual damascene with improved via profile
US20050280674A1 (en) * 2004-06-17 2005-12-22 Mcreynolds Darrell L Process for modifying the surface profile of an ink supply channel in a printhead
US7199712B2 (en) * 2004-06-17 2007-04-03 Tafas Triantafyllos P System for automatically locating and manipulating positions on an object
KR100857629B1 (ko) * 2004-10-08 2008-09-08 실버브룩 리서치 피티와이 리미티드 에칭된 트렌치로부터 폴리머 코팅의 제거 방법
US20060199370A1 (en) * 2005-03-01 2006-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of in-situ ash strip to eliminate memory effect and reduce wafer damage

Also Published As

Publication number Publication date
US7837887B2 (en) 2010-11-23
CN100565815C (zh) 2009-12-02
US7588693B2 (en) 2009-09-15
WO2006037151A2 (en) 2006-04-13
US20110024389A1 (en) 2011-02-03
EP1800334A2 (en) 2007-06-27
JP2008516429A (ja) 2008-05-15
US20090301999A1 (en) 2009-12-10
US20060079095A1 (en) 2006-04-13
CN101036218A (zh) 2007-09-12
US20060076312A1 (en) 2006-04-13
WO2006037151A3 (en) 2006-10-19
KR100857629B1 (ko) 2008-09-08
EP1800334A4 (en) 2012-07-04
KR20070088584A (ko) 2007-08-29

Similar Documents

Publication Publication Date Title
JP4638499B2 (ja) インクジェットプリンタヘッド集積回路を製造する方法
McAuley et al. Silicon micromachining using a high-density plasma source
JP4890734B2 (ja) 低汚染プラズマチャンバ構成部品とその製造方法
CN101102909B (zh) 从用于等离子体处理设备的硅和碳化硅电极表面除去黑硅和黑碳化硅的方法
KR100590370B1 (ko) 플라즈마 에칭 방법
JP2002503029A (ja) プラズマエッチング中のマスクの腐食を軽減する方法
EP1118115A1 (en) Techniques for forming contact holes through to a silicon layer of a substrate
US20230093478A1 (en) Semiconductor chamber components with high-performance coating
AU2005254115B2 (en) Process for modifying the surface profile of an ink supply channel in a printhead
JP2004304029A (ja) プラズマ処理方法
JP4819894B2 (ja) 基板に親水性トレンチをエッチングするのに適した方法
CN108573867B (zh) 硅深孔刻蚀方法
JP2022029847A (ja) シリコンのドライエッチング方法
JP2007144915A (ja) 液滴吐出ヘッドの製造方法およびパターン形成方法
JP2007019174A (ja) プラズマエッチング装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100430

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100720

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100817

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101007

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101102

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101125

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131203

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131203

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131203

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131203

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131203

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees
R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350