CN1599038A - 次氟酸酯、氟代过氧化物和/或氟代三氧化物在碳氟化合物刻蚀等离子体中作为氧化剂的应用 - Google Patents

次氟酸酯、氟代过氧化物和/或氟代三氧化物在碳氟化合物刻蚀等离子体中作为氧化剂的应用 Download PDF

Info

Publication number
CN1599038A
CN1599038A CNA2004100640663A CN200410064066A CN1599038A CN 1599038 A CN1599038 A CN 1599038A CN A2004100640663 A CNA2004100640663 A CN A2004100640663A CN 200410064066 A CN200410064066 A CN 200410064066A CN 1599038 A CN1599038 A CN 1599038A
Authority
CN
China
Prior art keywords
mixture
fluorocarbon
fluoro
dielectric material
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004100640663A
Other languages
English (en)
Inventor
齐宾
S·A·莫蒂卡
R·G·斯维雷特
P·R·巴多维斯基
E·J·小卡瓦基
H·P·小威瑟斯
R·M·皮尔斯泰恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN1599038A publication Critical patent/CN1599038A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明公开了一种混合物和用该混合物从层状基质上刻蚀介电材料的方法。特别地,在一个实施方案中提供了一种用于刻蚀层状基质中的介电材料的混合物,该混合物含有一种碳氟化合物气体和一种选自次氟酸酯、氟代过氧化物、氟代三氧化物及其组合的含氟氧化剂气体;以及任选含有一种惰性稀释气体。本发明中的混合物可以在足以形成活性物质的条件下与含有介电材料的层状基质接触,所述活性物质与该介电材料至少部分反应并除去该部分介电材料。

Description

次氟酸酯、氟代过氧化物 和/或氟代三氧化物在碳氟化合物刻蚀等离子体中 作为氧化剂的应用
技术领域
本发明涉及一种用于刻蚀层状基质中的介电材料的混合物和一种从层状基质上刻蚀介电材料的方法,特别是,本发明涉及的混合物包含碳氟化合物和氧化剂。
背景技术
介电材料主要是用于在例如电子设备或集成电路(IC)中形成电绝缘层。介电材料的选择性各向异性刻蚀是在集成电路(IC)、微电子机械系统(MEMS)、光电子设备和微光电机械系统(MOEMS)的制造中被广泛用来生产元件(feature)的工艺步骤。
晶片上的设备元件一般是通过绘有图案的掩模来确定的。这些绘有图案的掩模通常由有机光刻胶材料构成;但“硬”掩模材料,例如氮化硅Si3N4,或其它的可以比介电材料慢的速率刻蚀的材料,也可被用作掩模材料。选择性各向异性刻蚀是通过除去至少部分介电材料而同时基本上保留绘有图案的掩模来形成元件例如触点和通孔。掩模敞口下面的要被选择性除去的介电材料包括:各种形式的硅,例如晶体硅、多晶硅(polysilicon)、无定形硅和外延硅(epitaxialsilicon);含有硅的组合物,例如二氧化硅(SiO2);未掺杂的硅酸盐玻璃(USG);掺杂的硅酸盐玻璃,例如硼掺杂的硅酸盐玻璃(BSG)、磷掺杂的硅酸盐玻璃(PSG)和硼磷硅酸盐玻璃(BPSG);含有硅和氮的材料,例如氮化硅(Si3N4)、碳氮化硅(SiCN)和氮氧化硅(SiON);和具有低介电常数的材料(例如具有4.2或更低的介电常数),例如氟掺杂的硅酸盐玻璃(FSG)、有机硅酸盐玻璃(OSG)、有机氟硅酸盐玻璃(OFSG),聚合材料例如硅杂倍半环氧乙烷(HSQ,HSiO1.5)和甲基硅杂倍半环氧乙烷(MSQ,RSiO1.5其中R为甲基)以及多孔的低介电常数的材料。
对于选择性各向异性刻蚀的一些关键性的制造要求包括:底层介电材料的高刻蚀速率;绘有图案掩模的零或低损失,也就是介电材料相对于掩模材料的高刻蚀选择性;保持绘有图案的掩模的临界尺寸;保持所期望的刻蚀分布,也就是高各向异性;保持整个晶片的均匀性;特征尺寸和密度上的最小偏差,也就是说无微负荷影响;相对于底层刻蚀终止层例如SiC、SiN和硅等的高选择性;和在刻蚀后的抛光(ashing)、剥膜和/或冲洗中能够容易地除去的侧壁钝化膜。前述的要求中,获得介电材料相对于掩模材料的高刻蚀选择性并保持绘有图案掩模的临界尺寸可能是最重要的,也是要达到的最具有挑战性的性能要求。
由于IC几何尺寸不断缩小,更新的光刻胶要逐渐地适合用于在亚-200nm也就是193nm波长的深紫外(DUV)光刻法。与老一代的光刻胶材料相比,DUV光刻胶材料通常对等离子刻蚀的抗蚀能力较低。而且,DUV光刻胶的厚度一般只有几百纳米,并且在一些情况下因为抗蚀材料对DUV光的吸收性,其厚度低于200nm。由于介电击穿所设的限制,介电层的厚度通常不会降低至0.5-1μm之下。但是,穿透介电层的触点和通孔的最小特征尺寸可能小于0.5μm。结果,在介电材料上刻蚀的通孔必须是高各向异性的和具有高的深宽比(HAR),深宽比被定义为通孔的深度与其最小宽度的比率。介电材料的高深宽比(HAR)刻蚀可能要求通孔/沟槽的深度要超过DUV厚度几微米或一个数量级。光刻法技术进一步向更低的波长,也就是157nm的发展和EUV光刻法的发展,就会导致在底层介电材料和光刻胶材料之间需要甚至更高的刻蚀选择性。
碳氟化合物等离子体通常用于含硅介电材料例如SiO2的选择性各向异性刻蚀。用于选择性各向异性刻蚀的碳氟化合物包括:CF4(四氟甲烷)、CHF3(三氟甲烷)、C4F8(八氟环丁烷)、C5F8(八氟环戊烯)和C4F6(六氟-1,3-丁二烯)。这些碳氟化合物在等离子体中离解形成活性的碳氟物质,例如CF、CF2、C2F3等。这些碳氟物质可以提供活性氟源在例如能量离子轰击的情况下刻蚀底层含硅介电材料。此外,这些碳氟物质可以形成保护光刻胶和刻蚀元件侧壁的碳氟聚合物,这里称之为聚合反应。
用于选择性各向异性刻蚀应用中的基质一般含有一层或多层的介电体层,其上覆有在介电材料中提供例如触点或通孔等元件的绘有图案的光致抗蚀涂层。基于诸如位置、基质化学性质、离子流等因素,碳氟聚合物可以引发显然不同的等离子体表面化学反应。例如,碳氟聚合物可以形成保护层以防止在光刻胶表面上的等离子体内的氩离子和/或其它反应性物质的溅射损伤。相反,介电材料中存在的氧和撞击裸露的介电体表面的高能量离子可以促进挥发性物质的形成,这里称之为刻蚀反应。刻蚀反应形成的挥发性物质利用真空泵或其它方法可以容易地从反应器中除去。但是,刻蚀反应一般不会发生在通孔或沟槽的侧壁表面,因为离子轰击没有在竖向表面发生撞击。因此,碳氟聚合物可以在未暴露的介电材料例如元件侧壁上提供保护层或钝化层,而碳氟聚合物和裸露介电材料的刻蚀反应形成挥发性组分,从而除去介电材料。这样,在介电体表面,用作刻蚀反应中的反应性氟源的聚合反应的最终产物或碳氟聚合物得以充分地去除,因此不会有碳氟聚合物堆积在裸露的介电体表面从而促进刻蚀过程。
为了保护裸露的光刻胶表面,期望有高度聚合的碳氟等离子体以促进碳氟聚合物的形成。但是,在裸露的介电体表面,如果刻蚀反应不能与聚合反应相竞争,碳氟薄膜可能堆积从而刻蚀过程停止。为了优化刻蚀和聚合的竞争反应,通常将分子氧(O2)加入到碳氟化合物刻蚀等离子体中。如果可以达到竞争反应的最佳平衡,介电材料的刻蚀速率可以提高。不幸的是,O2还可能与有机光刻胶材料发生化学反应,由此提高了光刻胶的刻蚀速率。这会导致不希望的基质中的介电材料相对于光刻胶材料的刻蚀选择性的降低。
这些年来,用于选择性各向异性介电体刻蚀的优选碳氟气体已经从CF4和CHF3的混合物发展到C4F8,最近发展到C5F8,更近发展到C4F6。迄今为止,分子氧(O2)仍被用作氧化剂来微调(fine-tune)碳氟等离子体,以实现介电材料的高刻蚀速率和介电材料相对于光刻胶材料的高刻蚀选择性之间的平衡最优化。然而,对于最需要的深度微米特征尺寸的选择性各向异性HAR介电刻蚀来讲,IC工业正接近于O2/碳氟化合物化学的极限。
现有技术对于传统上采用的用于各种刻蚀和/或清洗应用的碳氟化合物提供了一些替代物质。例如,欧洲专利申请EP0924282描述了单独使用次氟酸酯或它与惰性气体、氢气或含氢气体(例如HI、HBr、HCl、CH4、NH3、H2、C2H2和C2H6),和/或氧气或含氧气体(即CO、NO、N2O和NO2)的混合物替代碳氟化合物气体。日本专利申请JP2000/038581A描述了单独使用双三氟甲基过氧化物或它和含有氢气或含氢气体的混合物作为刻蚀气体。日本专利申请JP2000/038675A和JP2002/184765A描述了使用双三氟甲基过氧化物、氟氧代三氟甲烷(FTM)或双(氟氧)二氟甲烷(BDM)作为清洗气体来去除来自CVD室的沉积物。尽管有这些替代物,本领域仍然需要新的可以提供介电材料的较高刻蚀速率同时使介电材料相对于光刻胶掩模具有较高的刻蚀选择性的刻蚀化学物质。
这里所引用的所有参考文献合并全文作为参考。
发明内容
即使没有满足本领域所有的需要,本发明也满足了其中的一种,即通过提供一种混合物和一种包含用该混合物从层状基质上除去至少部分介电材料的方法。特别是,一方面本发明提供了一种用于刻蚀层状基质中的介电材料的混合物,其含有:一种碳氟化合物和一种选自次氟酸酯、氟代过氧化物、氟代三氧化物及其组合的氧化剂。
另一方面,本发明提供了一种用于刻蚀层状基质中的介电材料的混合物,其含有:一种碳氟化合物和一种次氟酸酯。
第三方面,本发明提供了一种用于刻蚀层状基质中的介电材料的混合物,其含有:一种碳氟化合物和一种氟代过氧化物。
第四方面,本发明提供了一种用于刻蚀层状基质中的介电材料的混合物,其含有:一种碳氟化合物和一种氟代三氧化物。
第五方面,本发明提供了一种从层状基质上除去部分介电材料的方法,其包括:将该层状基质放置在反应室内;提供一种气体混合物,其中该气体混合物含有一种碳氟化合物和一种选自次氟酸酯、氟代过氧化物、氟代三氧化物及其组合的氧化剂;给气体混合物施加能量以形成活性物质;然后将该活性物质与该层状基质接触,其中该活性物质与部分介电材料反应从而除去该部分介电材料。
第六方面,本发明提供了一种从层状基质上刻蚀至少部分介电材料的方法,其包括:将混合物的活性物质与该层状基质接触,混合物中含有碳氟化合物和选自次氟酸酯、氟代过氧化物、氟代三氧化物及其组合的氧化剂,其中该活性物质与该介电材料至少部分反应从而除去该至少部分介电材料。
本发明的这些和其它方面通过下文的阐述会更加明白。
附图说明
图1为本发明方法的一个具体实施方案中所用的装置的图示。
图2为层状基质的一个实例。
图3为用本发明方法的一个具体实施方案刻蚀的0.35μm通孔的扫描电子显微镜(SEM)图像。
图4为用本发明方法的一个具体实施方案刻蚀的0.5μm通孔的扫描电子显微镜(SEM)图像。
图5为用对比方法刻蚀的0.35μm通孔的SEM图像。
图6为用对比方法刻蚀的0.5μm通孔的SEM图像。
图7为用本发明方法的一个具体实施方案刻蚀的0.3μm通孔的SEM图像。
具体实施方式
本发明提供一种混合物和一种包含用该混合物从层状基质上除去物质的方法,该方法使用含氟氧化剂例如次氟酸酯、氟代过氧化物和/或氟代三氧化物作为氧化剂以及一种或多种碳氟化合物共同作用来减少分子氧(O2)的量或替代分子氧(O2)。例如,本发明中的混合物及方法可以用于从层状基质上选择性各向异性刻蚀介电材料。在特定优选的实施方案中,混合物可以暴露于足以形成活性物质的一种或多种能源,随后该活性物质与基质上的物质发生反应并将其除去。
本发明认为使用含氟氧化剂,例如次氟酸酯、氟代过氧化物和/或氟代三氧化物,可以替代即使不是全部也是部分的O2,从而防止掩模或光刻胶物质的腐蚀。另外,含氟氧化剂可以通过在刻蚀反应中和后来的介电体表面提供额外的氟原子来提高介电体刻蚀速率。因此,在含有至少一种碳氟化合物的混合物中,使用次氟酸酯、氟代过氧化物和/或氟代三氧化物作为氧化剂来替代或明显地减少O2的用量,可以同时增强介电材料的刻蚀速率和介电材料相对于光刻胶材料的刻蚀选择性。
如上所述,本发明的混合物含有下列试剂:至少一种碳氟化合物和一种含氟氧化剂例如次氟酸酯、氟代过氧化物和/或氟代三氧化物。尽管这里使用的反应试剂和混合物有时描述为“气态的”,可以理解为试剂可以以气体的形式、汽化的液体、升华的固体直接输送到反应器和/或随同惰性气体传入反应器。
本发明的混合物含有一种或多种气体碳氟化合物和一种或多种含氟氧化剂。这里所用的术语“碳氟化合物”包括全氟化碳(含有C和F原子的化合物)、氢代碳氟化合物(含有C、H和F的化合物)、氧代氢代碳氟化合物(含有C、H、O和F的化合物)和氧代碳氟化合物(含有C、O和F的化合物)。在一个实施方案中,全氟化碳就是具有式ChFi的化合物,其中h为1-10,i为h-2h+2。具有式ChFi的全氟化碳的实例包括但不限于:CF4(四氟甲烷)、C4F8(八氟环丁烷)、C5F8(八氟环戊烯)和C4F6(六氟-1,3-丁二烯)。在另一个实施方案中,碳氟化合物是具有式CjHkFI的氢代碳氟化合物,其中j为1-10,k和I为正整数,(k+I)是j-2j+2。具有式CjHkFI的氢代碳氟化合物的实例包括CHF3(三氟甲烷)。在其它的实例中,碳氟化合物是氧代氢代碳氟化合物或氧代碳氟化合物。氧代碳氟化合物的实例包括全氟环戊烯氧化物、六氟环丁酮、六氟二氢呋喃、六氟丁二烯环氧化物、四氟环丁基二酮、全氟四氢呋喃(C4F8O)、六氟丙烯氧化物(C3F6O)、全氟甲基乙烯醚(C3F6O)及其组合。氧代氢代碳氟化合物的实例包括七氟环丁醇。混合物中碳氟化合物气体的量,按体积计算为1-99%,优选1-50%,更优选2-20%。
本发明特定的实例中,优选使用分子中氟原子和碳原子比率即F/C比率较低的碳氟化合物。相信通过使用F/C比率较低的碳氟化合物,刻蚀等离子体可以形成较高交联度的碳氟聚合物。高度交联的碳氟聚合物对刻蚀反应具有更高的抗蚀能力,从而为光刻胶层和侧壁提供更好的保护。但是,其它F/C比率为2或更大的碳氟化合物也可以使用。
除一种或多种碳氟化合物之外,本发明混合物至少含有一种含氟氧化剂气体,该气体选自:次氟酸酯、氟代过氧化物、氟代三氧化物及其组合。这里所述的次氟酸酯是指至少含有一个-O-F基团的分子。次氟酸酯优选是具有式CxHyFz(OF)nOm的化合物,其中x为0-8,y为0-17,z为0-17,n为1或2,m为0、1或2。次氟酸酯的实例包括氟氧基三氟甲烷(FIM,CF3-O-F)、次氟酸甲酯(CH3OF)、次氟酸(HOF)、次氟酸三氟乙酰酯(CF3C(O)OF)、次氟酸乙酯(CH3C(O)OF)和二(氟氧基)二氟甲烷(BDM,F-O-CF2-O-F)。这里所述的氟代过氧化物为至少含有一个-O-O-基团并且分子中的部分或全部的氢原子被氟原子取代的分子。氟代过氧化物实例包括F-O-O-F(二氟代过氧化物)、CF3-O-O-F(氟代三氟甲基过氧化物)、CF3-O-O-CF3(双三氟甲基过氧化物)、CF3-O-O-C2F5(五氟乙基-三氟甲基过氧化物)、C2F5-O-O-C2F5(双五氟乙基过氧化物)、CF2O2(二氟代双环氧乙烷)、CF3OC(O)OOC(O)OCF3(双三氟甲基过氧化二碳酸酯)、CF3-O-O-C(O)F(氟代甲酰基三氟甲基过氧化物)和FC(O)-O-O-C(O)F(双氟代甲酰基过氧化物)。这里所述的氟代三氧化物为至少含有一个-O-O-O-基团并且分子中的部分氢全部的氢原子被氟原子取代的分子。氟代三氧化物的实例包括CF3-O-O-O-CF3(双氟代甲基三氧化物)、CF3-O-O-O-F(氟代三氟甲基三氧化物)和CF3-O-O-O-C(O)F(氟代甲酰基三氟甲基三氧化物)。混合物中含氟氧化剂气体的量,按体积计算为1-99%,优选1-75%,更优选1-50%。混合物中含氟氧化剂气体与碳氟化合物气体的体积比为0.1∶1-20∶1,优选0.1∶1-10∶1,更优选0.1∶1-5∶1。
除了这里所述的反应性试剂之外,也可以加入惰性稀释气体,例如氩、氮、氦、氖、氪、氙或其组合。惰性稀释气体可以,例如调整等离子体的性质,使其更好地适应特殊的应用。另外,来自惰性气体的离子,例如氩可以提供能量轰击来促进选择性各向异性刻蚀反应。混合物中惰性气体的含量范围按体积计算为0-99%,优选25-99%,更优选50-99%。
在一些实施方案中,混合物还可以含有例如O2、O3、CO、CO2和N2O等氧化剂。在这些实施方案中,混合物中氧化剂的含量范围按体积计算为0-99%,优选0-75%,更优选0-50%。
化学试剂可以通过各种各样的方法输送到反应室内,例如普通钢瓶,安全传输系统,真空传输系统,基于固体或液体的并在使用点(POU)可以产生化学试剂和/或气体混合物的发生器。在一个实施方案中,次氟酸酯、氟代过氧化物和/或氟代三氧化物可以通过压缩气体钢瓶加入到反应室内。在一个可选择的实施例中,化学试剂,例如次氟酸酯FTM可以例如通过1或2摩尔当量的氟气在催化剂作用下分别与COF2或CO反应在使用点生成。次氟酸酯BDM可以通过2摩尔当量的氟气在催化剂作用下与CO2反应在使用点生成。上述反应中的F2和COF2可来自压缩钢瓶、安全传输系统或真空传输系统。另外,F2可以通过电离2摩尔当量的HF形成H2和F2从而在使用点产生。
本发明的方法用于从基板上刻蚀介电材料等物质。可以采用的合适的基质包括并不局限于:半导体材料例如砷化镓(“GaAs”),氮化硼(“BN”),各种形式的硅例如晶体硅、多晶硅、无定形硅和外延硅,含硅组合物例如二氧化硅(“SiO2”)、碳化硅(“SiC”)、碳氧化硅(“SiOC”)、氮化硅(“SiN”)、碳氮化硅(“SiCN”)、有机硅酸盐玻璃(“OSG”)、有机氟硅酸盐玻璃(“OFSG”)、氟硅酸盐玻璃(“FSG”)和其它适当的基质或其混合物。基质可以进一步含有各种各样的层,例如抗反射涂层、光刻胶、有机聚合物、多孔有机和无机材料、金属例如铜和铝、或扩散阻挡层,例如TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN或W(C)N。
图2为层状硅片基质10的实例,其适于采用本发明所述的方法进行刻蚀。基质10具有介电层20,例如沉积在基质10上的SiO2。掩模层30,比如DUV光刻胶,被施加在介电层20上的背面抗反射涂层(BARC)上。掩模或光刻胶层30按照绘制图案的方式进行描述。绘有图案的光刻胶通常是通过以下步骤形成的,将基质暴露在辐射源下以提供图像,使该基质显影以在该基质上形成有图案的光刻胶层。该绘有图案的涂层随后用作后续的基质绘制过程,例如刻蚀,掺杂和/或用金属、其它半导体材料或介电材料涂覆时的掩模。该选择性各向异性刻蚀过程通常包括除去不受绘有图案的光刻胶保护的部分基质表面,从而暴露出底层表面以进一步处理。
将本发明中的混合物暴露在一种或多种足以生成活性物质的能源以与介电材料至少部分反应并形成挥发性物质。暴露步骤中的能源包括但不限于:α粒子、β粒子、γ射线、x射线、高能电子、电子束能源、紫外线(波长为10-400nm)、可见光(波长为400-750nm)、红外线(波长为750-105nm)、微波(频率>109Hz)、射频波(频率>106Hz)能;热、RF、DC、电弧或电晕放电、声波、超声波或兆声波能及其组合。
在一个实施方案中,混合物暴露在足以生成具有活性物质的等离子体的能源下。采用该等离子体用于刻蚀过程的特定例子包括但不限于,反应离子刻蚀(RIE)、磁增强反应离子刻蚀(MERIE)、有或没有独立偏能源(separate biaspower source)的感应耦合等离子体(ICP)、变压耦合等离子体(TCP)、空心阳极型等离子体、螺旋形谐振等离子体、有或没有独立偏能源的电子回旋共振(ECR)、有或没有独立偏能源的RF或微波激发的高密度等离子体源等。在使用RIE法的实施方案中,刻蚀过程的实施是采用电容耦合平行板反应室。在这些实施方案中,层状基质(例如有图案的晶片)可放置在反应室内RF供能的较低电极之上。通过机械夹圈或静电卡盘将基质固定在电极之上。基质的背侧可以用惰性气体例如氦气冷却。RF能源可以是,例如,在13.56MHz操作的RF发生器,当然也可以使用其它频率。RF能量密度可以在0.3-30W/cm2,优选1-16W/cm2内变化。操作压力可以是0.1-10000mTorr,优选1-1000mTorr,更优选1-100mTorr。进入反应室的混合物的流量为10-50000标准立方厘米每分钟(scm),优选20-10000sccm,更优选25-1000sccm。
参照下列实施例将更详细地阐述本发明,但显然不能认为本发明是局限在这些实施例中的。
实施例
下面的实施例是在两个不同的刻蚀反应器中进行的:改性的GaseousElectronics Conference Reference Reactor(“GEC”)等离子体反应器和商业生产规模的Applied Materials P-5000 Mark II反应器。试验是在与图1所示的装置相似的平行板电容耦合RF等离子体反应器100中进行的。对于每次试验操作,基质110是被安置在反应器卡盘120的上面。操作气体130从顶部的喷嘴140加入反应器100。随后通过13.56MHz RF能源150供能给卡盘以产生等离子体(未显示)。该卡盘带有氦的背侧冷却系统160。通过涡轮泵(未显示)将挥发性物质(未显示)经泵送环170从反应室100中除去。泵送环170产生了一个轴向对称的通道以泵出其中所含的气体和挥发性物质。
GEC反应器是以电容耦合活性离子刻蚀器(RIE)的模式操作的。将100mm的晶片放置在RF供能的低电极上,该电极的有效RF“热”表面积为约182cm2。化学试剂例如FTM、Ar、C4F6和O2通过喷嘴加入反应室。RF生成器通过自动匹配网络输出13.56MHz频率的RF能。低电极组件装备有静电卡盘和氦的背侧冷却系统。通常的GEC反应器100上的氦的背侧冷却压力随动控制在大约4Torr。和GEC反应器一样,Applied Materials P-5000 Mark II反应器也是以电容耦合RIE模式操作的,用磁约束增加等离子体密度从而提高刻蚀速率和均匀性。这种反应器常被称为磁增强活性离子刻蚀器(MERIE)。Applied MaterialsMarkII反应器采用夹圈机械卡盘和8Torr的氦背侧冷却用于处理200mm的晶片。两个反应器中都是在20℃用水冷却晶片卡盘。
一般的刻蚀方法可以包括例如C4F6(六氟-1,3-丁二烯)等氟碳刻蚀气和/或分子氧O2(对实施例)或例如FTM等含氟氧化剂气体。为了促进选择性各向异性刻蚀,惰性气体,例如氩经常用作上述刻蚀剂的稀释剂。在下面的实施例中,除非特别声明,都是以1000W的13.56MHz频率,或大约3W/cm2的功率密度给反应器供能。这导致约-900V的典型直流(DC)偏压。反应室的压力保持在35mTorr。磁场设定在50Gauss。
扫描电子显微镜(SEM)以35000倍的放大倍数对剖开的具有图案的晶体碎片的横截面进行扫描。
实施例1:在GEC反应器上用FTM/C4F6/Ar的混合物刻蚀没有绘上图案的晶片。
在GEC等离子反应器上在下列条件下进行一组试验:室压35mTorr,RF能为在13.56MHz300W,或RF的能量密度为1.6Wcm2。在GEC反应器中,RF能和压力导致了约-900V的DC自偏压。试验中在不同的FTM/C4F6比率条件下采用10摩尔%的C4F6作为刻蚀碳氟化合物气体。在所有的工艺中,总输入气流的流量固定为110标准立方厘米每分钟(sccm),并用氩做为稀释剂来平衡输入的气体混合物。实验中,对覆有1微米厚的热塑生长的SiO2膜或约400nm厚的193nm光刻胶膜的硅片进行刻蚀。用反射仪测量等离子体暴露之前和之后膜的厚度来决定刻蚀的速率。表1列举了不同FTM/C4F6比率的作用效果。
表1显示出的趋势是,当FTM/C4F6比率增加时,SiO2和光刻胶的刻蚀速率都增加,因此SiO2/光刻胶的刻蚀选择性降低。这个趋势同在氟碳等离子体刻蚀中增加氧化剂/C4F6比率时的总趋势一致。
表1.在GEC反应器上FTM/C4F6/Ar刻蚀没有绘上图案的晶片的结果
  FTM/C4F6摩尔比   SiO2刻蚀速率(nm/min)    光刻胶刻蚀速率(nm/min)     SiO2/光刻胶刻蚀选择性
    2.00      101.2         22.3         4.50
    2.25      118.2         28.2         4.20
    2.50      129.8         32.4         4.00
    2.80      136.3         41.5         3.30
    3.10      143.9         48.2         3.00
对比实施例2:用O2/C4F6/Ar混合物刻蚀没有绘上图案的晶片
用常规的O2/C4F6化学组成在GEC反应器上进行一系列的试验作为相应性能的对照。除了用O2而不是用FTM作氧化剂之外,其它处理条件同试验1相同。表2列举了不同O2/C4F6比率的作用效果。
本实施例与实施例1对比,在其它的RF能、压力、总流量和C4F6浓度相同的条件下,显然FTM/C4F6化学组成提供了较高的SiO2刻蚀速率和较高的SiO2/光刻胶刻蚀选择性。例如在相似的约20nm/min的光刻胶刻蚀速率下,FTM/C4F6化学组成显示SiO2刻蚀速率提高约50%,SiO2/光刻胶刻蚀选择性提高约40%。
表2.在GEC反应器上O2/C4F6/Ar刻蚀没有绘上图案的晶片的结果
    O2/C4F6摩尔比   SiO2刻蚀速率(nm/min)    光刻胶刻蚀速率(nm/min)     SiO2/光刻胶刻蚀选择性
     1.25      66.0         20.3         3.2
     1.50      93.6         31.2         3.0
     1.75      99.5         41.2         2.4
对比实施例3:用不含C4F6的FTM/Ar混合物刻蚀没有绘上图案的晶片
为了描述试验1中每种气体组分的作用,并揭示FTM/C4F6混合物的协同作用,在GEC反应器上只用被氩稀释的FTM进行了一系列的试验。除了不把C4F6加入到反应器中,采用和试验1同样的FTM流。其它所有工艺条件与实施例1相同,结果见表3。
很显然,没有C4F6,稀释的FTM对光刻胶的刻蚀速率高于对SiO2的刻蚀速率,导致SiO2/光刻胶的刻蚀选择性只有约0.5。事实上,不含C4F6的FTM的刻蚀速率几乎是有C4F6的FTM的刻蚀速率的10倍。光刻胶的如此高的刻蚀速率会导致在完成底层介电层刻蚀之前掩膜抗蚀剂层就完全损失了,因此引起各向异性原件的临界尺寸丧失。与试验1相比,这说明,没有例如C4F6等氟碳化合物,仅仅FTM本身或用惰性气体稀释过的FTM不能产生可接受的选择性各向异性刻蚀性能。
表3.在GEC反应器上FTM/Ar刻蚀没有绘上图案的晶片的结果
   FTM流量(sccm)    Ar流量(sccm)   SiO2刻蚀速率(nm/min)   光刻胶的刻蚀速率(nm/min)   SiO2/光刻胶刻蚀选择性
    22.00     178      128          263      0.49
    24.75    175.25      135          292      0.46
    27.50    172.50      144          286      0.50
    30.80    169.20      145          305      0.48
实施例4:在GEC反应器上用FTM/C4F6/Ar的混合物刻蚀绘上图案的晶片
正如如图2描述的,在GEC反应器上对绘上图案的晶片进行一组试验。通过等离子增强化学蒸气沉积法(PECVD),将约2微米厚的SiO2膜层沉积在没有绘上图案的硅片上。随后晶片涂上一层深紫外(DUV)光刻胶并用一组0.30-0.50微米的不同直径的通孔绘成图案。通过扫描电子显微(SEM)镜确定等离子体刻蚀前光刻胶层的厚度。
除了FTM/C4F6的比率之外,C4F6摩尔%也可以不同。其它所有的处理条件同实施例1相同。等离子体刻蚀后,晶片从反应器中取出,分裂成小碎片并用SEM进行分析。从SEM图像中通孔的深度来决定SiO2刻蚀速率并且从SEM图像中光刻胶层的厚度变化来确定光刻胶刻蚀速率。表4列举了0.35微米通孔的测量结果。
参见表4,很明显,绘上图案的晶片刻蚀显示了与没有绘上图案的晶片刻蚀同样令人满意的结果。这表明FTM/C4F6化学组成对介电材料的选择性各向异性刻蚀的可行性。图3和4分别表示表4中的操作#3的0.35和0.50微米通孔的SEM图像。
由图3和4可知,FTM/C4F6化学组成不仅保持光刻胶的堆积密度,还保持了掩模图案的临界尺寸。另外,从小元件比如0.35微米的通孔到较大元件比如0.50微米的通孔,以及没有绘上图案的晶片的空隙的良好性能来看,在FTM/C4F6等离子刻蚀中没有尺寸依赖性或微负荷影响。对整个晶片的均匀性的检测也表明了良好的结果,至少与由常规的O2/C4F6化学组成刻蚀晶片所得的结果相同。
表4.在GEC反应器上FTM/C4F6/Ar刻蚀绘制图案的晶片的结果
操作#    C4F6摩尔%  FTM/C4F6摩尔比  SiO2刻蚀速率(nm/min)  光刻胶的刻蚀速率(nm/min)  SiO2/光刻胶刻蚀选择性
  1     10    2.25      104          30       3.47
  2     10    2.25      96          22       4.36
  3     10    2.50      94          22       4.27
  4     10    3.00      128          38       3.37
  5     7.7    2.25      110          32       3.44
实施例5:在GEC反应器上用O2/C4F6/Ar混合物刻蚀绘有图案的晶片
为了做对比,用O2/C4F6/Ar化学组成刻蚀绘有图案的晶片。表5列举了处理方法和结果。该方法是在GEC反应器上优化了的O2/C4F6方法。除了用O2替代FTM做氧化剂外,所有其它处理参数与实施例4相同。
与没有绘上图案的晶片的刻蚀结果一致,O2/C4F6的绘有图案晶片的刻蚀也显示了比FTM/C4F6化学组成低的SiO2刻蚀速率和SiO2/光刻胶刻蚀选择性。图5和6分别表示表5中由O2/C4F6刻蚀得到的0.35和0.50微米通孔的SEM图像。
图5和6显示了较浅的SiO2通孔深度。这再一次确认了常规O2/C4F6化学组成产生较低的SiO2刻蚀速率和较低的SiO2/光刻胶刻蚀选择性。另外,图5和6显示了掩模图案临界尺寸的轻微损失。
表5.在GEC反应器上用O2/C4F6/Ar刻蚀绘有图案的晶片
   C4F6摩尔%  FTM/C4F6摩尔比  SiO2刻蚀速率(nm/min)    光刻胶的刻蚀速率(nm/min)    SiO2/光刻胶刻蚀选择性
    10    1.50       88             26        3.38
实施例6:在Applied Materials P-5000 Mark II反应器上用FTM/C4F6/Ar混合物刻蚀没有绘上图案的晶片
下列试验用FTM/C4F6/Ar混合物在Applied Materials P-5000 Mark II反应器上进行刻蚀。用覆有SiO2或193nm光刻胶材料的200mm的晶片来做评测。用四乙基原硅酸盐(TEOS)的等离子增强化学蒸气沉积法沉积成约1微米厚的SiO2膜。通过旋压(spin-on)沉积约400nm厚的193nm的光刻胶。在室压为35mTorr,磁场50Gauss和在13.56MHz(或约3W/cm2的RF功率密度)1000W的RF能的条件下进行刻蚀试验其导致约-900V的DC自偏压。表6提供了处理方法和结果。
用FTM做氧化剂以及结合使用C4F6进行选择性各向异性刻蚀的优越性在商用Applied Materials P-5000 Mark II反应器上得到充分体现。
表6.在Applied Materials P-5000 Mark II反应器上用FTM/C4F6/Ar混合物刻蚀没有绘上图案的晶片
  C4F6摩尔%  FTM/C4F6摩尔比   总流量(sccm)   SiO2刻蚀速率(nm/min)  光刻胶的刻蚀速率(nm/min)  SiO2/光刻胶刻蚀选择性
   10    1.25    175        328         55       6.01
   13    1.25    175        326         50       6.51
   13    1.25    150        336         55       6.11
对比实施例7:在Applied Materials Mark II反应器上用FTM/Ar混合物刻蚀没有绘上图案的晶片
与在GEC反应器上进行的对比实施例3相似,用不含C4F6的FTM在商用Applied Materials P-5000 Mark II反应器上进行对比试验。处理方法和结果列在表7中。
FTM和C4F6的协同效应再一次得到了确认。没有C4F6的FTM/Ar混合物的SiO2刻蚀速率降低近50%,而光刻胶的刻蚀速率增加5倍,导致SiO2/光刻胶刻蚀选择性降低10倍。因此没有C4F6,FTM不能用作介电材料选择性各向异性刻蚀的可用的气体。
相信单独的次氟酸酯、氟代过氧化物和/或氟代三氧化物不能形成用来保护光刻胶或掩模材料的碳氟聚合物膜层。而且,如对比实施例3和7所示,单独的次氟酸酯、氟代过氧化物和/或氟代三氧化物导致光刻胶和介电材料的非选择性刻蚀。因此,与碳氟化合物相互作用的次氟酸酯、氟代过氧化物和/或氟代三氧化物的协同效应被认为可以产生在保持介电材料的相对于光刻胶材料较高的选择性的同时,介电材料具有较高的刻蚀速率这一优点。
表7.在Applied Materials P-5000 Mark II反应器上用FTM/Ar混合物刻蚀没有绘制图案的晶片
  FTM流量(sccm)   Ar流量(sccm)  SiO2刻蚀速率(nm/min)  光刻胶的刻蚀速率(nm/min)    SiO2/光刻胶刻蚀选择性
    26     124     163         268        0.61
实施例8:在Applied Materials P-5000 Mark II反应器上用FTM/C4F6/Ar混合物刻蚀绘有图案的晶片
依照实施例6的方法用下列工艺配方进行如下试验:25sccm FTM,20sccmC4F6,155sccm Ar,35mTorr室压,50Gauss磁场,1000W RF能和8Torr氦的背部冷却压力。图7为刻蚀过的晶片的横截面的SEM图像。如图7所示,刻蚀分布优于图3-6中的刻蚀分布。这可能归功于所用的反应器。
对于本领域技术人员而言,很明显,通过本发明详细的描述并参考其中的特定的实施例,可以做出各种各样变化和调整,但这些变化和调整都在本发明的原则和范围内。

Claims (26)

1.一种用于刻蚀层状基质中的介电材料的混合物,该混合物含有:
一种碳氟化合物;和
一种选自次氟酸酯、氟代过氧化物、氟代三氧化物及其组合的含氟氧化剂。
2.如权利要求1所述的混合物,其还含有一种惰性稀释气体。
3.如权利要求2所述的混合物,其中该惰性稀释气体选自氩、氖、氙、氦、氮、氪及其组合中的至少一种。
4.如权利要求2所述的混合物,其中该混合物含有0.1-99体积%的惰性稀释气体。
5.如权利要求1所述的混合物,其中该碳氟化合物选自全氟化碳、氢代碳氟化合物、氧代氢代碳氟化合物、氧代碳氟化合物及其组合中的至少一种。
6.如权利要求5所述的混合物,其中该碳氟化合物是至少一种选自四氟甲烷、三氟甲烷、八氟环丁烷、八氟环戊烯、六氟-1,3-丁二烯及其组合的全氟化碳。
7.如权利要求6所述的混合物,其中该全氟化碳为六氟-1,3-丁二烯。
8.如权利要求5所述的混合物,其中该碳氟化合物是至少一种氢代碳氟化合物。
9.如权利要求9所述的混合物,其中该碳氟化合物是至少一种氧代氢代碳氟化合物。
10.如权利要求5所述的混合物,其中该氧代氢代碳氟化合物选自全氟环戊烯氧化物、六氟环丁酮、六氟二氢呋喃、六氟丁二烯环氧化物、四氟环丁基二酮、全氟四氢呋喃(C4F8O)、六氟丙烯氧化物(C3F6O)、全氟甲基乙烯基醚(C3F6O)及其组合中的至少一种。
11.如权利要求1所述的混合物,其中该含氟氧化剂为具有式CxHyFz(OF)nOm的次氟酸酯,其中x为0-8,y为0-17,z为0-17,n为1或2,m为0、1或2。
12.如权利要求1所述的混合物,其中该含氟氧化剂为选自二氟代过氧化物、氟代三氟甲基过氧化物、双三氟甲基过氧化物、五氟乙基-三氟甲基过氧化物、双五氟乙基过氧化物、二氟代双环氧乙烷、双三氟甲基过氧化二碳酸酯、氟代甲酰基三氟甲基过氧化物、双氟代甲酰基过氧化物及其组合的氟代过氧化物。
13.如权利要求1所述的混合物,其中该含氟氧化剂为选自双三氟甲基三氧化物、氟代三氟甲基三氧化物、氟代甲酰基三氟甲基三氧化物及其组合的氟代三氧化物。
14.如权利要求1所述的混合物,其中该含氟氧化剂与该碳氟化合物的体积比为0.1∶1-20∶1。
15.如权利要求1所述的混合物,其中该混合物含有1-99体积%的含氟氧化剂。
16.如权利要求1所述的混合物,其中该混合物含有1-99体积%的碳氟化合物。
17.如权利要求1所述的混合物,其中该介电材料是选自硅、含硅组合物、二氧化硅(SiO2)、未掺杂的硅酸盐玻璃(USG)、掺杂的硅酸盐玻璃、含有硅和氮的材料、有机硅酸盐玻璃(OSG)、有机氟硅酸盐玻璃(OFSG)、低介电常数的材料、聚合材料、多孔的低介电常数的材料及其组合中的至少一种。
18.一种用于刻蚀层状基质中的介电材料的混合物,其含有一种碳氟化合物和一种次氟酸酯。
19.一种用于刻蚀层状基质中的介电材料的混合物,其含有一种碳氟化合物和一种氟代过氧化物。
20.一种用于刻蚀层状基质中的介电材料的混合物,其含有一种碳氟化合物和一种氟代三氧化物。
21.一种用于从层状基质上除去部分介电材料的方法,该方法包括:
将该层状基质放置在反应室内;
提供一种气体混合物,该混合物包含一种碳氟化合物气体和一种氧化剂气体,该氧化剂气体选自次氟酸酯、氟代过氧化物、氟代三氧化物及其组合;
为该气体混合物施加能量以形成活性物质;和
使该活性物质与该层状基质接触,其中该活性物质与该介电材料至少部分反应从而除去该部分介电材料。
22.如权利要求21所述的方法,其中该气体混合物的压力为0.1-10000mTorr。
23.如权利要求21所述的方法,其中该气体混合物的流量为10-50000标准立方厘米每分钟(sccm)。
24.如权利要求21所述的方法,其中该气体混合物是通过下述方法中的至少一种提供的:普通钢瓶、安全传输系统、真空传输系统、基于固体的发生器、基于液体的发生器、使用点发生器及其组合。
25.如权利要求21所述的方法,其中施加步骤中的能源是选自α粒子、β粒子、γ射线、x射线、高能电子、电子束源、紫外线、可见光、红外光、微波、射频波、热能、RF放电、DC放电、电弧放电、电晕放电、声波能、超声波能、兆声波能及其组合中的至少一种。
26.一种用于从层状基质上刻蚀至少部分介电材料的方法,其包括:将该层状基质与含有如下物质的混合物的活性物质相接触,该混合物含有一种选自全氟化碳、氢代碳氟化合物、氧代氢代碳氟化合物、氧代碳氟化合物及其组合的碳氟化合物和一种选自次氟酸酯、氟代过氧化物、氟代三氧化物及其组合的含氟氧化剂,其中,该混合物的活性物质与该介电材料至少部分反应从而除去该至少部分介电材料。
CNA2004100640663A 2003-07-15 2004-07-15 次氟酸酯、氟代过氧化物和/或氟代三氧化物在碳氟化合物刻蚀等离子体中作为氧化剂的应用 Pending CN1599038A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/619,922 US20050014383A1 (en) 2003-07-15 2003-07-15 Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US10/619922 2003-07-15

Publications (1)

Publication Number Publication Date
CN1599038A true CN1599038A (zh) 2005-03-23

Family

ID=33477084

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004100640663A Pending CN1599038A (zh) 2003-07-15 2004-07-15 次氟酸酯、氟代过氧化物和/或氟代三氧化物在碳氟化合物刻蚀等离子体中作为氧化剂的应用

Country Status (7)

Country Link
US (2) US20050014383A1 (zh)
EP (1) EP1498940A3 (zh)
JP (1) JP2005051236A (zh)
KR (1) KR100681281B1 (zh)
CN (1) CN1599038A (zh)
SG (1) SG111186A1 (zh)
TW (1) TWI284370B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104979188A (zh) * 2007-12-21 2015-10-14 苏威氟有限公司 用于生产微机电系统的方法
CN114409514A (zh) * 2021-12-21 2022-04-29 西安近代化学研究所 一种1,1,1,4,4,4-六氟-2-丁酮的合成方法

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040219790A1 (en) * 2003-04-30 2004-11-04 Wilson Aaron R Etching methods, RIE methods, and methods of increasing the stability of photoresist during RIE
US7442650B2 (en) * 2007-01-10 2008-10-28 International Business Machines Corporation Methods of manufacturing semiconductor structures using RIE process
DE102008037951B4 (de) * 2008-08-14 2018-02-15 Nawotec Gmbh Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen von mit Gallium verunreinigten Schichten
DE102008037943B4 (de) * 2008-08-14 2018-04-26 Nawotec Gmbh Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen und Halbleiterbauelement mit einer Struktur geätzt mittels eines derartigen Verfahrens
US8372756B2 (en) * 2008-08-29 2013-02-12 Air Products And Chemicals, Inc. Selective etching of silicon dioxide compositions
US20110059617A1 (en) * 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5655296B2 (ja) * 2009-12-01 2015-01-21 セントラル硝子株式会社 エッチングガス
JP2014036148A (ja) * 2012-08-09 2014-02-24 Tokyo Electron Ltd 多層膜をエッチングする方法、及びプラズマ処理装置
TWI588240B (zh) 2012-10-30 2017-06-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於高縱橫比氧化物蝕刻之氟碳分子
JP2016051777A (ja) * 2014-08-29 2016-04-11 日本ゼオン株式会社 シリコン酸化膜のプラズマエッチング方法
US9607843B2 (en) * 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
JP2017050413A (ja) * 2015-09-02 2017-03-09 日本ゼオン株式会社 プラズマエッチング方法
US9496148B1 (en) * 2015-09-10 2016-11-15 International Business Machines Corporation Method of charge controlled patterning during reactive ion etching
WO2018159368A1 (ja) * 2017-02-28 2018-09-07 セントラル硝子株式会社 ドライエッチング剤、ドライエッチング方法及び半導体装置の製造方法
US10276439B2 (en) 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures
KR102388963B1 (ko) * 2020-05-07 2022-04-20 아주대학교산학협력단 퍼플루오로프로필카비놀(Perfluoropropyl carbinol)을 이용한 플라즈마 식각 방법
EP4181176A4 (en) * 2020-07-09 2024-02-21 Resonac Corporation ETCHING METHOD AND METHOD FOR PRODUCING A SEMICONDUCTOR ELEMENT
KR102582730B1 (ko) * 2021-04-07 2023-09-25 (주)후성 플루오르화 시클로프로판 가스의 제조방법 및 이를 포함하는 에칭용 가스 조성물

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3202718A (en) * 1960-06-20 1965-08-24 Du Pont Synthesis of bis (trifluoromethyl) peroxide
US3122463A (en) * 1961-03-07 1964-02-25 Bell Telephone Labor Inc Etching technique for fabricating semiconductor or ceramic devices
US3436424A (en) * 1966-09-20 1969-04-01 Allied Chem Process for preparing bis(trifluoromethyl)trioxide
US3467718A (en) * 1967-07-10 1969-09-16 Minnesota Mining & Mfg Fluorocarbon compounds
US3576837A (en) * 1968-08-22 1971-04-27 Allied Chem Process for preparatin of bisctrifloro-methyl trioxide and fluoroformyl trifluoromethyl peroxide
US3622601A (en) * 1969-02-17 1971-11-23 Monsanto Res Corp Fluorinated epoxide
US3634483A (en) * 1969-05-27 1972-01-11 Us Air Force Process for preparing compounds containing the oof group
US4213818A (en) * 1979-01-04 1980-07-22 Signetics Corporation Selective plasma vapor etching process
DD145348A1 (de) * 1979-08-06 1980-12-03 Wilfried Helmstreit Verfahren zum reaktiven ionenstrahlsaetzen von silizium und siliziumverbindungen
US4473435A (en) * 1983-03-23 1984-09-25 Drytek Plasma etchant mixture
IT1249208B (it) * 1990-06-07 1995-02-20 Ausimont Srl Processo per la preparazione di 1,3-diossolani alogenati e nuovi prodotti ottenuti
JP3038950B2 (ja) * 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
US5176790A (en) * 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal
US5445712A (en) * 1992-03-25 1995-08-29 Sony Corporation Dry etching method
JP3252518B2 (ja) * 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
US5831131A (en) * 1995-08-30 1998-11-03 E. I. Du Pont De Nemours And Company Process for preparing peroxides
US5814563A (en) * 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
EP0871213A3 (en) * 1997-03-27 1999-03-03 Siemens Aktiengesellschaft Method for producing vias having variable sidewall profile
US5989929A (en) * 1997-07-22 1999-11-23 Matsushita Electronics Corporation Apparatus and method for manufacturing semiconductor device
WO1999008805A1 (en) * 1997-08-20 1999-02-25 Air Liquide Electronics Chemicals & Services, Inc. Plasma cleaning and etching methods using non-global-warming compounds
EP0911697A3 (en) * 1997-10-22 1999-09-15 Interuniversitair Microelektronica Centrum Vzw A fluorinated hard mask for micropatterning of polymers
JP3283477B2 (ja) 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
JP2903109B2 (ja) * 1997-12-01 1999-06-07 工業技術院長 モノ又はテトラフルオロエチルトリフルオロメチルエーテルの製造方法
SG72905A1 (en) * 1997-12-18 2000-05-23 Central Glass Co Ltd Gas for removing deposit and removal method using same
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
JP3143650B2 (ja) * 1999-03-25 2001-03-07 工業技術院長 新規なフルオロオレフィンエーテル及びその製造方法
US6214670B1 (en) * 1999-07-22 2001-04-10 Taiwan Semiconductor Manufacturing Company Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance
KR100338769B1 (ko) * 1999-10-26 2002-05-30 윤종용 반도체 장치의 절연막 식각방법
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6432318B1 (en) * 2000-02-17 2002-08-13 Applied Materials, Inc. Dielectric etch process reducing striations and maintaining critical dimensions
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6362109B1 (en) * 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JP2002184765A (ja) * 2000-12-18 2002-06-28 Central Glass Co Ltd クリーニングガス
WO2002066408A2 (en) * 2001-02-23 2002-08-29 Showa Denko K.K. Process for producing perfluorocarbons and use thereof
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
JP4205325B2 (ja) * 2001-09-12 2009-01-07 セントラル硝子株式会社 トリフルオロメチルハイポフルオライトの製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104979188A (zh) * 2007-12-21 2015-10-14 苏威氟有限公司 用于生产微机电系统的方法
CN114409514A (zh) * 2021-12-21 2022-04-29 西安近代化学研究所 一种1,1,1,4,4,4-六氟-2-丁酮的合成方法

Also Published As

Publication number Publication date
US20050014383A1 (en) 2005-01-20
KR20050008489A (ko) 2005-01-21
TWI284370B (en) 2007-07-21
EP1498940A3 (en) 2005-08-24
JP2005051236A (ja) 2005-02-24
US20070224829A1 (en) 2007-09-27
TW200502425A (en) 2005-01-16
SG111186A1 (en) 2005-05-30
EP1498940A2 (en) 2005-01-19
KR100681281B1 (ko) 2007-02-12

Similar Documents

Publication Publication Date Title
CN1599038A (zh) 次氟酸酯、氟代过氧化物和/或氟代三氧化物在碳氟化合物刻蚀等离子体中作为氧化剂的应用
JP6906107B2 (ja) 3d nand及びdram応用のための−nh2官能基を含有するヒドロフルオロカーボン
US7361607B2 (en) Method for multi-layer resist plasma etch
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
US6380095B1 (en) Silicon trench etch using silicon-containing precursors to reduce or avoid mask erosion
CN112981369B (zh) 室清洁和半导体蚀刻气体
CN101038875A (zh) 在介电层中蚀刻开口的方法
EP1498941A2 (en) Unsaturated oxygenated fluorocarbons for selective anisotropic etch applications
KR101049309B1 (ko) 실리콘 디옥사이드 조성물의 선택적 에칭
JP2002542623A (ja) 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法
KR100874813B1 (ko) 드라이 에칭 가스 및 드라이 에칭 방법
CN100485883C (zh) 等离子体灰化方法
KR101877827B1 (ko) 에칭 가스 및 에칭 방법
JP2002075975A (ja) オクタフルオロブテンを含む蝕刻ガスを用いた半導体素子の製造方法及びその方法によって製造された半導体素子
TWI833930B (zh) 乾式蝕刻方法及半導體裝置之製造方法
CN1771581A (zh) 在等离子体加工系统中蚀刻时减少光致抗蚀剂变形的方法
US20080102553A1 (en) Stabilizing an opened carbon hardmask
JP4889199B2 (ja) 低誘電率層間絶縁膜のドライエッチング方法
KR100562320B1 (ko) 금속층 식각 시 실리콘 산화물 계열의 반사 방지층을식각하는 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
AD01 Patent right deemed abandoned
C20 Patent right or utility model deemed to be abandoned or is abandoned