CN101038875A - 在介电层中蚀刻开口的方法 - Google Patents

在介电层中蚀刻开口的方法 Download PDF

Info

Publication number
CN101038875A
CN101038875A CNA200710097649XA CN200710097649A CN101038875A CN 101038875 A CN101038875 A CN 101038875A CN A200710097649X A CNA200710097649X A CN A200710097649XA CN 200710097649 A CN200710097649 A CN 200710097649A CN 101038875 A CN101038875 A CN 101038875A
Authority
CN
China
Prior art keywords
gas
dielectric layer
etching
reactor
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA200710097649XA
Other languages
English (en)
Inventor
阿龙·埃普勒
穆昆德·斯里尼瓦桑
罗伯特·舍比
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101038875A publication Critical patent/CN101038875A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种在介电层中蚀刻开口的方法,包括:将半导体基板支撑于等离子蚀刻反应器中,该基板具有介电层及位于该介电层上的图案化光致抗蚀剂层及/或硬质掩模;向等离子蚀刻反应器供应蚀刻剂气体,其包括(a)碳氟化合物气体(CxFyHz,其中x≥1,y≥1且z≥0);(b)氢气或碳氢化合物气体(CxHy,其中x≥1且y≥4);(c)可选含氧气体;及(d)可选惰性气体;其中该氢气或碳氢化合物气体对该碳氟化合物气体的流速比≤0.5;将该蚀刻剂气体激发为等离子状态;以增强的光致抗蚀剂和/或硬质掩模对介电层的选择性及最小光致抗蚀剂变形或条纹在介电层中蚀刻若干开口,其中该介电层包含其间具有或不具有阻蚀层的低k材料的层堆栈。

Description

在介电层中蚀刻开口的方法
技术领域
本发明涉及一种用于在集成电路制造中等离子蚀刻一介电层的改良方法。具体而言,本发明涉及经增强的光致抗蚀剂及/或硬质掩模刻面选择性,以在蚀刻介电层时维持关键尺寸(CD)、最小化条纹并改良轮廓。
背景技术
集成电路制造中的共同要求是在介电材料中等离子蚀刻诸如接点、通道及沟道等开口。该些介电材料包括经掺杂氧化硅(例如,氟化氧化硅)、未掺杂氧化硅、硅酸盐玻璃(例如,磷酸硼硅酸盐玻璃(BPSG)及磷酸盐硅酸盐玻璃(PSG))、经掺杂或未掺杂的热生长氧化硅、经掺杂或未掺杂的TEOS沉积氧化硅、有机及无机低k材料等。该些介电掺杂剂包括硼、磷及/或砷。
介电层可覆于以下材料之上:半导体或导体层,例如,多晶硅;金属,例如,铝、铜、钛、钨、钼或其合金;氮化物,例如,氮化钛;金属硅化物,例如,硅化钛、硅化钴、硅化钨、硅化钼等。
采用传统的微影蚀刻法,可使用光致抗蚀剂及/或硬质掩模层来图案化介电层。视情况,可使用沉积于光致抗蚀剂层之下的抗反射涂层(ARC)以将抗蚀剂曝光期间的反射降至最小并提高抗蚀剂图案的准确度。
真空处理室通常用于通过向该真空室供应过程气体并将RF场施加于该气体来蚀刻基板上的材料。等离子蚀刻可在(例如)中等密度反应器中实施,例如,美国专利第4,340,462号及第5,013,398号中所阐述的平行板等离子反应器或美国专利第5,013,400号中所阐述的三极管型反应器。
可达成高纵横比(至少为5∶1)深开口轮廓控制的技术揭示于共同拥有的美国专利第6,117,786号及第6,191,043号中。在该些专利中,第6,117,786号专利揭示使用包含碳氟化合物、氧气及氮气反应物的气体混合物在氧化硅层中蚀刻开口的方法,其中氧气和氮气的添加量可有效控制所蚀刻开口的轮廓。第6,191,043号专利揭示在硅层中蚀刻10至15μm深的深开口之方法,该方法通过使用含氯蚀刻气体化学成分来蚀刻穿透硅层上的原有氧化物层并使用一含有氧气反应气体、氦、惰性轰击增强气体及含氟气体(例如,SF6、C4F8、CF4、NF3及CHF3)的气体混合物来蚀刻硅层。
一般而言,以碳氟化合物、氢氟碳化合物及/或氯氟碳化合物为主的蚀刻气体已广泛用于介电层蚀刻,因为F及Cl成份可通过自由基反应及离子辅助反应二者促进蚀刻,同时形成于基板表面上的含碳聚合物可提供侧壁保护。
人们希望对上覆光致抗蚀剂、硬质掩模及ARC层以及底部蚀刻终止层具有选择性。尤其希望在图案化光致抗蚀剂或硬质掩模层的拐角处具有选择性,因为该些拐角具有有利于快速蚀刻的几何形状,此易于产生若干会对形貌关键尺寸造成不利影响的刻面。光致抗蚀剂/硬质掩模刻面可导致通道/沟道“超出”装置关键尺寸,而对蚀刻终止层的低选择性会导致至通路侧壁上的显著溅射。因此,业内需要一种等离子蚀刻技术,以达成较高的光致抗蚀剂或硬质掩模蚀刻选择性、高介电层蚀刻速度、低污染及低损坏。更具体而言,需要一种等离子方法,以增强光致抗蚀剂对氧化物蚀刻选择性,从而达成高各向异性介电蚀刻同时使原始光致抗蚀剂图案的损坏或变形最小。
发明内容
本发明提供一种在介电层蚀刻开口的方法,该方法包括以下步骤:将一半导体基板支撑于一等离子蚀刻反应器中,该基板具有一介电层及一位于该介电层之上的图案化光致抗蚀剂及/或硬质掩模层;向该等离子蚀刻反应器供应一种蚀刻剂气体,该蚀刻剂气体包括(a)一种碳氟化合物气体(CxFyHz,其中x≥1,y≥1且z≥0);(b)一种含硅烷气体、氢气或碳氢化合物气体(CxHy,其中x≥1且y≥4);(c)一种可选含氧气体;及(d)一种可选惰性气体,其中含硅烷气体对碳氟化合物气体的流速比小于或等于0.1,或氢气或碳氢化合物对碳氟化合物气体的流速比小于或等于0.5;将该蚀刻剂气体激发为等离子;并以增强的光致抗蚀剂/硬质掩模对介电层的选择性及/或最小化的光致抗蚀剂变形或条纹在介电层中等离子蚀刻开口。
在一较佳实施例中,等离子蚀刻反应器是双频中等密度电容耦合等离子反应器,该等离子反应器包括一上部莲蓬头电极(视情况可向其施加500至3000瓦特RF能)及一底部电极(视情况可向其施加500至3000瓦特RF能),RF能可以两种不同频率施加至该底部电极或以不同的第一及第二频率施加至该上部莲蓬头电极及该底部电极。根据一较佳实施例,在蚀刻步骤期间可将一RF偏压施于半导体基板。
在该过程期间,等离子蚀刻反应器中的压力可为5至200mTorr且基板支架的温度可为-20℃至+80℃。
该些开口可形成通道、接点及/或双镶嵌沟道、自对准接点或自对准沟道结构。而且,该些蚀刻开口可为具有实质上为直边轮廓的0.3μm或更小尺寸的开口,其中该些开口的上、中及下关键尺寸实质上相同,且该些开口的纵横比至少为5∶1。根据一较佳实施例,该些蚀刻开口可为具有实质上为直边轮廓的0.25μm或更小尺寸的开口,其中该些开口的上、中及下关键尺寸实质上相同,且该些开口的纵横比至少为10∶1。
介电层可包括一经掺杂或未掺杂二氧化硅、BPSG、BSG、PSG、TEOS、热氧化硅或无机低k材料或有机低k材料。介电层可覆于一导电层上,该导电层选自由以下组成之群:Al、Al合金、Cu、Cu合金、Ti、Ti合金、经掺杂或未掺杂多晶或单晶硅、TiN、TiW、Mo、及Ti、W、Co及/或Mo的硅化物或其合金。半导体基板可进一步于介电层上及/或介电层与导电层之间包括一可选终止层及/或掩模层,该可选终止层及/或掩模层选自氮化硅、碳化硅或氧氮化硅。根据一较佳实施例,介电层可包括低k材料叠层,其间可有或没有蚀刻终止层,该些开口可蚀刻至深度至少为2μm。
根据一较佳实施例,CxFyHz可于所蚀刻开口侧壁上形成保护性侧壁聚合物;含硅烷气体、氢气或碳氢化合物反应物能够使反应器中维持期望的聚合物前体物质浓度;且含氧气体能够保持期望的侧壁聚合物厚度。
根据一更佳实施例,蚀刻剂气体可包括一选自由He、Ne、Kr、Xe及Ar组成之群之惰性气体,该惰性气体可以5至1000sccm的流速供至等离子蚀刻反应器。CxFyHz可包括至少一种不含氢的碳氟化合物(例如,CF4、C2F2、C2F4、C3F6、C4F6、C4F8及C6F6)及/或至少一种含氢碳氟化合物(例如,C2HF5、CHF3、CH3F、C3H2F6、C3H2F4、C3HF5及C3HF7)。蚀刻剂气体可包括CxFyHz、作为含硅烷气体的硅烷、含氧气体及Ar,其以3至100sccm CxFyHz、0.3至10sccm硅烷、0至500sccm含氧气体及5至1000sccm Ar的流速供至等离子蚀刻反应器。一种较佳蚀刻剂气体包括C4F8、硅烷、O2及Ar,其以5至30sccm C4F8、0.5至3sccm硅烷、0至40sccm O2及100至500sccm Ar的流速供至等离子蚀刻反应器。另一较佳蚀刻剂气体包括5至30sccm C4F8、1至30sccm H2或碳氢化合物气体、0至40sccmO2及100至500sccm Ar。
可选含氧气体可包括O2、O3、CO、CO2及其混合物。根据一较佳实施例,可使用不含氧气的蚀刻剂气体在介电层中形成一锥形开口,或可使用含氧气的蚀刻剂气体在介电层中形成一直边开口,其中氧气以5至500sccm流速供至等离子蚀刻反应器。
根据一较佳实施例,蚀刻剂气体包含CxFyHz、氢气或碳氢化合物气体、含氧气体及Ar,其以5至50sccm CxFyHz、1至30sccm氢气或碳氢化合物气体、5至500sccm含氧气体及100至600sccm Ar的流速供至等离子蚀刻反应器。根据另一较佳实施例,CxFyHz包括C4F6且含氧气体包括O2,其以C4F6∶O2为0.5∶1至3∶1的流速比供至等离子蚀刻反应器。
当介电层在图案化光致抗蚀剂之下时,与没有含硅烷气体、氢气或碳氢化合物气体的蚀刻剂气体相比,向蚀刻剂气体中添加含硅烷气体、氢气及/或碳氢化合物气体可提高35%以上的抗蚀剂选择性。
附图说明
自下文对较佳实施例的详细阐述连同附图可更清楚地了解本发明的各种特征及优点,其中相同的数字表示相同元件,且在该些图中:
图1a展示一经蚀刻介电层,其中高纵横比开口具有弓形轮廓。
图1b展示一经蚀刻介电层,其中高纵横比开口具有直边轮廓。
图2a和3a展示光致抗蚀剂表面,其中该种抗蚀剂的表面是粗糙的。
图2b和3b展示根据本发明蚀刻的光致抗蚀剂表面。
图4展示一种可用于实施本发明方法的中等密度平行板等离子反应器的示意图。
具体实施方式
本发明提供一种用于制造集成电路的半导体制造方法,其中可于诸如氧化物层等介电层中等离子蚀刻多个开口,同时为上覆光致抗蚀剂及/或硬质掩模层提供期望的选择性及材料完整性。而且,本发明可改善装置制造中所蚀刻接点的轮廓。本发明方法可用于蚀刻各种介电层,例如,未掺杂氧化硅及经掺杂氧化硅,例如,氟化氧化硅(FSG)、旋涂玻璃(SOG);硅酸盐玻璃,例如,磷酸硼硅酸盐玻璃(BPSG)、磷酸盐硅酸盐玻璃(PSG)、有机硅酸盐玻璃(OSG)及经碳掺杂的硅酸盐玻璃(例如,CORAL,自Novellus Systems公司购得的产品);经掺杂或未掺杂的热生长氧化硅;经掺杂或未掺杂的TEOS沉积氧化硅;芳族烃聚合物,例如,SiLK(一种自Dow Chemical公司购得的产品);低k介电材料,包括无机材料和有机聚合物材料(例如,聚酰亚胺、有机硅氧烷聚合物、聚芳醚)或其他介电常数低于4(较佳低于3)的适宜介电材料。介电掺杂剂包括硼、磷及/或砷。介电层可由单一材料或包含低k材料及/或抗反射涂层的叠层构成,叠层间可有或没有蚀刻终止层。
该些蚀刻开口可形成通道、接点、导线及/或双镶嵌沟道、自对准接点或自对准沟道结构。在该些开口蚀刻期间,侧壁必须为直线型以保持装置形貌的关键尺寸(CD),且该蚀刻必须对上覆光致抗蚀剂及/或硬质掩模层及下层具有选择性。为将介电层蚀刻期间的CD损失及变形或条纹降至最低,希望蚀刻介电层的同时保持光致抗蚀剂及/或硬质掩模层的厚度及结构完整性。而且,所蚀刻形貌的轮廓必须保持微影蚀刻中所图案化的关键尺寸。
由于高级器件的几何形状具有高纵横比(>10∶1)轮廓,且接点之间的距离(间距)等于接点直径(<0.18μm),故希望有一种对光致抗蚀剂及/或硬质掩模具有高选择性的蚀刻方法,且该蚀刻方法能够将抗蚀剂及/或硬质掩模的损坏及变形降至最低。在抗蚀剂/硬质掩模不完整的情况下,介电层中所蚀刻的接点可能具有将会导致设备失效的变形或条纹;而且,较差的抗蚀剂/硬质掩模选择性将不能保持接点的关键尺寸。而且,新一代装置生产方法将依赖于新型光致抗蚀剂材料(193nm光致抗蚀剂),此可满足更小装置尺寸的需要但对等离子干蚀刻方法的耐受性远不及当前一代的248nm光致抗蚀剂。193nm光致抗蚀剂的出现已使得过去用于高纵横比蚀刻的方法相形见绌且已引入需要新方法来达成可行过程方案的新失效机理。
在本发明方法中,可获得具有实质上为直边轮廓的0.3μm或更小的蚀刻开口,其中该些开口的上、中及下关键尺寸实质上相等。该些开口可蚀刻于介电层中以便具有高纵横比,例如,5∶1或以上,较佳纵横比至少为10∶1。该些开口可蚀刻至一至少2μm或较佳3μm的深度。例如,所蚀刻开口可为具有实质上呈直边轮廓的0.2μm或更小尺寸的开口,其中该些开口的上、中及下关键尺寸实质上相等,且该些开口的纵横比至少为10∶1。
本发明方法可用于获得至少为5∶1的极高纵横比,较佳至少为10∶1,且更佳至少为20∶1。此方法尤其可用于获得纵横比至少为10∶1的小于0.3μm(较佳小于0.2μm)的开口。例如,对于0.18μm的开口可在深度大于2μm处获得具有最低变形或条纹的直壁。
介电层可覆盖于一导电层或半导体层(例如一含金属层)上,该导电层或半导体层选自由Al、Al合金、Cu、Cu合金、Ti、Ti合金、经掺杂或未掺杂多晶或单晶硅、TiN、TiW、Mo、及Ti、W、Co及/或Mo的硅化物或其合金等组成之群。若需要,可将一层诸如氮化硅、碳化硅、氧氮化硅或诸如此类的物质形成的终止及/或掩模层设置于介电层之上、介电层之间及/或介电层与导电层之间。
许多装置失效机理可通过对光致抗蚀剂及/或硬质掩模层具有高选择性并保持所述层的材料完整性而加以避免。该些失效机理中之一与装置形貌的关键尺寸损失有关。当介电层蚀刻期间光致抗蚀剂及/或硬质掩模产生一刻面时会造成此CD损失。当光致抗蚀剂具有不同的厚度(无论是由于初始不均匀性还是由于蚀刻期间抗蚀剂材料的破裂所致)且蚀刻在不同时间穿透抗蚀剂使以暴露下层介电层时,亦会造成CD损失。以任何形式使形貌变形或形成条纹皆会发生其他设备失效。通过添加含硅烷气体(例如硅烷)、H2或碳氢化合物气体,可在蚀刻期间保持光致抗蚀剂的结构完整性并可避免产生变形及条纹。硅烷、H2或碳氢化合物反应剂的添加可在整体及在抗蚀剂-介电层界面处产生更光滑的抗蚀剂表面,且抗蚀剂光滑性可将导致装置失效的不期望介电层蚀刻降至最低。
含硅烷气体、氢气及/或碳氢化合物气体较佳以可有效控制蚀刻气体化学成分的蚀刻速度选择性比率之量添加。换言之,当将一包含含硅烷气体、氢气及/或碳氢化合物气体的蚀刻气体与一或多种碳氟化合物气体一起使用时,所添加气体可有效产生高光致抗蚀剂/硬质掩模选择性并保持抗蚀剂的结构完整性。对于一中等密度等离子反应器而言,本发明的有利效果可通过向等离子蚀刻反应器提供含硅烷气体、氢气及/或碳氢化合物反应物及碳氟化合物反应物来达成,其中含硅烷气体对碳氟化合物气体的流速比为0.1或以下,或氢气或碳氢化合物气体对碳氟化合物气体的流速比为0.5或以下。
含硅烷气体可包括一或多种选自由硅烷、氟硅烷、氯硅烷、二氟硅烷、二氯硅烷、三氟硅烷及三氯硅烷组成之群之气体。根据一较佳实施例,蚀刻气体包括硅烷作为含硅烷气体。本发明的方法可通过在一等离子态下将蚀刻剂气体激发为化学激发态并于介电层中蚀刻开口来实施。
硅烷及/或其他含硅烷气体、氢气及/或碳氢化合物气体的添加可增强光致抗蚀剂对介电层的选择性并通过使其尽量不变形及损坏来保持抗蚀剂的完整性。而且,含硅烷气体、氢气及/或碳氢化合物气体的添加可减少高纵横比接点中的弯曲数量。含硅烷气体、氢气及/或碳氢化合物气体的添加可同样良好地适用于248nm与193nm光致抗蚀剂二者。
由于含氟及含O2蚀刻剂气体可导致CD、轮廓及不均匀等问题(尤其对于193nm光致抗蚀剂的应用而言),故向氟碳化合物及含氧蚀刻气体中添加硅烷、氢气或碳氢化合物气体可有效提供期望程度的选择性及光致抗蚀剂完整性。向氟碳化合物及含氧蚀刻气体中添加硅烷、氢气或碳氢化合物气体可充分平衡聚合物形成,从而可保护所蚀刻形貌的侧壁,同时避免因蚀刻形貌的侧壁及底部形成过多聚合物而引起的夹断及蚀刻停止问题。具体而言,当将含硅烷气体、氢气或碳氢化合物气体添加至等离子中时,氢可被结合至聚合物中,此可保护侧壁及光致抗蚀剂。当蚀刻气体中包含一诸如氩气的惰性气体时,氩气可用于辅助冲击等离子。
通过聚合物离解与视情况将氧气添加至蚀刻气体混合物中的协同作用可减少聚合物的形成。而且,为保持蚀刻形貌的关键尺寸,氧气可去除所蚀刻开口侧壁上形成的足够量的聚合物以避免侧壁上形成过多的聚合物,否则将会引起蚀刻开口的“夹断”并因而阻止开口完全蚀刻至期望深度。
向等离子蚀刻反应器中选择添加含氧气体亦有助于防止过多聚合物形成,而过多聚合物形成将会导致“蚀刻停止”。在使用可形成过多聚合物的气体化学成分于介电材料(例如氧化硅)中等离子蚀刻深及窄开口期间,蚀刻停止尤其会导致发生问题,即,该些开口中聚合物的形成会阻止氧化硅的进一步蚀刻。在本发明方法中,聚合物的形成可通过用碳氟化合物形成聚合物与用蚀刻气体混合物中的氧气分解聚合物的协同作用来协调。氧气较佳以可通过与所蚀刻开口底部的聚合物反应而有效防止蚀刻停止之量供至等离子蚀刻反应器。
根据一较佳实施例,硅烷可以0.3至10sccm的流速添加至等离子蚀刻反应器中,且H2或碳氢化合物气体可以1至30sccm的流速添加至等离子蚀刻反应器中。供至等离子反应器的碳氟化合物气体的量应足以达成期望聚合度及蚀刻速度。碳氟化合物气体可以3至100sccm的流速添加至等离子蚀刻反应器中。例如,在一中等密度等离子反应器中,总碳氟化合物气体可以3至100sccm的量供应,较佳为5至30sccm,且更佳为10至25sccm。
蚀刻剂气体可进一步包括选自由O2、O3、CO及CO2组成之群的可选含氧气体及选自由He、Ne、Kr、Xe及Ar组成之群的可选惰性气体及其混合物,其中含氧气体可以0至500sccm的流速供至等离子蚀刻反应器,且惰性气体可以5至1000sccm的流速供至等离子蚀刻反应器。尽管含氢的碳氟化合物可非常易于聚合,但可通过使用含氧及含碳氟化合物反应物的组合来控制其聚合度。含氧气体可以有效穿透所蚀刻开口底部处的聚合物之量供至等离子蚀刻反应器。在一双频反应器中,可适当调整每一频率以获得高蚀刻速度及良好的蚀刻停止窗。对于一可形成中等密度等离子的反应器而言,当含氧气体包括O2时,O2可以0至100sccm的流速供至该反应器,更佳为0至40sccm,且最佳为10至20sccm。
蚀刻气体混合物较佳亦包括Ar作为可选惰性气体。然而,其他诸如He、Ne、Kr及/或Xe等惰性气体亦可作为惰性气体。与在低室压力下运行的高密度蚀刻反应器不同,在一中等密度等离子反应器中,氩气可以100至500sccm的量以较高流速供至反应器,较佳为200至400sccm。惰性气体较佳可促进氧化物蚀刻速度,因为在形貌蚀刻前部存在聚合物物质的化学活化及氧化物溅射。
含硅烷气体、氢气及/或碳氢化合物气体可作为单独气体或作为预稀释混合物与碳氟化合物、含氧及/或惰性气体一起添加至等离子蚀刻反应器中。蚀刻剂气体较佳包括含硅烷气体、氢气及/或碳氢化合物气体及碳氟化合物气体,其中含硅烷气体对碳氟化合物气体的流速比小于或等于0.1,或氢及/或碳氢化合物气体对碳氟化合物气体的流速比小于或等于0.5。
根据本发明,含硅烷气体、氢气及/或碳氢化合物气体及含氧气体较佳以可有效控制所蚀刻形貌轮廓的量添加。例如,通过相对于碳氟化合物气体的量调整含硅烷气体、氢气及/或碳氢化合物气体及含氧气体的量,可形成直边轮廓。在此情况下,轮廓不会变成明显的锥形,而且可消除轮廓中的弓形。例如,尺寸自上部0.3μm变至底部0.1μm的锥形开口可使用其中不含氧的C4F8、SiH4及Ar蚀刻气体混合物来形成。对于直边开口,碳氟化合物气体的较佳量至少10倍于硅烷气体的量且含氧气体的较佳量较佳为5至40sccm。
本发明通过在蚀刻具有高纵横比的开口期间增强轮廓控制而克服了先前蚀刻技术中的一个问题。为获得具有直边侧壁的开口,希望控制氧气的添加量,以便存在足够的聚合物以避免或最小化弯曲并去除足够的聚合物以避免蚀刻停止现象。对于聚合物去除而言,氧气的作用可通过含硅烷气体、氢气及/或碳氢化合物气体的添加来平衡。例如,当使用SiH4、H2或碳氢化合物气体时,可提供适当的蚀刻开口侧壁钝化,即,可形成期望厚度及组成的聚合物。因此,通过选择性调整O2及SiH4、H2或碳氢化合物气体流速,可获得高纵横比直边窄开口。
根据本发明的实施例,含硅烷气体由硅烷构成。然而,可使用具有通式SiHxF4-x或SiHxCl4-x的其他含硅烷气体来完全或部分地替代硅烷。
熟习此项技术者应了解,各种气体的流速应根据若干因素而定,例如,基板尺寸、等离子反应器类型、功率设定值、反应器中的真空压力、等离子源的离解速率等等。
本发明提供一种等离子蚀刻方法,该方法用于在半导体基板上的介电层(例如,氧化硅层)中等离子蚀刻0.3μm(尤其0.25μm及更小)高纵横比形貌,例如通道及接点。在此方法中,较佳将包含碳氟化合物及含硅烷气体、氢气及/或碳氢化合物气体反应物的气体混合物化学激发为等离子态。在蚀刻过程期间,碳氟化合物及含硅烷气体、氢气及/或碳氢化合物气体协同反应以产生富碳及硅的聚合物,该等聚合物沉积于光致抗蚀剂的上部并消除离子轰击及化学蚀刻对光致抗蚀剂的影响。
等离子可产生于各种类型的等离子反应器中。该些等离子反应器通常具有使用RF能、微波能、磁场等的能源以产生等离子蚀刻条件。例如,一中等密度等离子可产生于一平行板蚀刻反应器中,例如共同拥有的美国专利第6,090,304号中所阐述的双频等离子蚀刻反应器,其揭示内容以引用的方式并入本文中。较佳地,在蚀刻步骤期间向半导体基板施加一RF偏压。一中等密度反应器可产生约1011离子/cm3的离子密度。本发明方法可用于多个或单一晶片处理。
等离子蚀刻反应器较佳包括中等密度平行板反应器、三极管反应器或双频电容耦合等离子反应器,其中双频电容耦合等离子反应器包含上部莲蓬头电极及底部电极,RF能可以两种不同频率施加至底部电极或以不同的第一及第二频率施加至莲蓬头电极及底部电极。在等离子蚀刻反应器为电容耦合等离子反应器的情况下,该反应器可具有一个加电莲蓬头电极及一个加电底部电极,该莲蓬头电极可被供以500至3000瓦特RF能,且底部电极可被供以500至3000瓦特RF能。在双频反应器中,高频率源用来控制等离子化学成分(即,激发态物质的丰度),同时低频率源用来控制离子能(即,离子进入基板的加速度)。在该些反应器中,希望顶部电极与支撑半导体基板的底部电极之间的间隙保持在约1.3至2.5cm的距离。
中等密度等离子反应器在较高室压力下运行且其对蚀刻气体化学成分的离解程度低于高密度等离子反应器。例如,在中等密度等离子反应器中,诸如C4F8等蚀刻气体可如下分阶段离解:C4F8→C2F8→CF2→CF+F。此逐步离解可达成更高的介电层蚀刻速度及更低的上覆层(例如,光致抗蚀剂或硬质掩模)或下层(例如,蚀刻终止层)蚀刻速度。该些蚀刻速度之比称为“蚀刻选择比”,在中等密度等离子反应器中可获得高选择比,此可促进接点、通道及导线图案的完全蚀刻。相反,在高密度反应器中,蚀刻气体的瞬间离解可由于掩蔽层及蚀刻终止层的较高蚀刻速度而导致低选择比。例如,在高密度等离子反应器中,C4F8直接离解为游离氟且高含量的游离氟导致掩蔽层及/或蚀刻终止层的快速蚀刻,以致蚀刻选择比低至不可接受的程度。
较佳将反应器压力维持在适于维持反应器中等离子的水平。一般而言,过低的反应器压力可导致等离子消失,而过高的反应器压力可导致蚀刻停止问题。对于诸如双频电容耦合蚀刻反应器的中等密度等离子反应器而言,反应器压力较佳低于200mTorr,例如,20至40mTorr。由于等离子会被约束在处于蚀刻中的半导体基板处,故基板表面的真空压力可高于反应器的真空压力设定值,例如,基板表面的压力可介于30至100mTorr之间,例如,45至65mTorr。
蚀刻时支撑半导体基板的基板支架较佳将基板充分冷却至可防止有害的副反应,例如,任何光致抗蚀剂在基板上的燃烧及不期望反应气体自由基的形成。在中等密度等离子反应器中,将基板支架冷却至-20℃至+80℃的温度就足够了。在平行板等离子反应器或三极管型反应器中,基板支架可包括用于在基板处理期间向基板施加RF偏压的底部电极及用于夹紧基板的ESC。例如,基板可包括硅晶片,该硅晶片以静电方式夹紧并通过在晶片与ESC上表面之间以期望压力提供氦(He)来冷却,如美国专利第5,609,720号中所阐述。为将晶片维持在期望温度,可在晶片与夹盘之间的空间中将He的压力维持在5至30Torr。
视情况,介电层可用任何适宜光致抗蚀剂图案化。例如,可将市售248nm抗蚀剂旋涂于介电层上。在蚀刻步骤期间,248nm抗蚀剂的厚度可为500至1000nm。或者,可使用193nm抗蚀剂。193nm抗蚀剂可有利的支持0.18μm、0.15μm及更小设计标准。通常约100至500nm厚的193nm抗蚀剂对等离子蚀刻化学成分更为敏感。因此,此蚀刻过程必须对抗蚀剂具有高选择性,以防止由于抗蚀剂的刻面及/或完成介电层蚀刻之前的抗蚀剂穿透而失去图案准确度。
当于介电层中蚀刻较小形貌时,通常会伴随诸如侧壁沉积、侧壁弯曲、微型开槽及掩模刻面等现象。例如,等离子蚀刻期间会在抗蚀剂侧壁上形成条纹。条纹会改变形貌边缘并导致关键尺寸的降格。条纹的形成亦可通过增加抗蚀剂的光滑度来减少。
若需要,基板之上可包括一抗反射涂层(“ARC”)。ARC用于在微影蚀刻步骤的曝光期间降低返回光致抗蚀剂的反射并获得较佳图案再现性,例如形成于光致抗蚀剂中的通道或沟道形式的开口图案。ARC可为诸如碳氢化合物膜等任何适宜材料,例如,包含有机聚合化合物的旋涂有机材料。ARC可以期望厚度(例如,60至200nm)旋涂于基板上。
在一实施例中,本发明提供一种用于在半导体基板上的介电层中等离子蚀刻高纵横比形貌(例如导线、通道及接点,包括自对准接点(SAC))的方法。在该方法中,在等离子蚀刻反应器中将包含碳氟化合物、氧气及含硅烷气体(例如,硅烷)、H2或碳氢化合物气体的气体混合物与一种可选气体(例如惰性气体,如,氩气)激发为等离子态,以使非惰性反应物至少部分地离解。在蚀刻过程期间,介电层通过含氟物质蚀刻且含硅烷气体、H2或碳氢化合物气体在光致抗蚀剂/硬质掩模顶部及所蚀刻开口的侧壁上形成含碳及/或含硅保护性聚合物。含硅烷气体、H2或碳氢化合物可与含氧气体协同平衡聚合物的形成,以充分保护光致抗蚀剂及所蚀刻形貌的侧壁,同时避免由于形成过多聚合物而造成夹断及蚀刻停止问题。一般而言,含硅烷气体、H2或碳氢化合物气体可用于在抗蚀剂/硬质掩模顶部及所蚀刻开口中形成聚合物,且氧气添加量应能够充分控制所蚀刻开口侧壁上的聚合物形成。在双频中等密度等离子蚀刻反应器中,可通过向等离子蚀刻反应器供应含硅烷气体、H2或碳氢化合物气体及碳氟化合物气体反应物来达成本发明的有利效果,其中含硅烷气体对碳氟化合物气体的流速比为0.1或以下,或H2或碳氢化合物气体对碳氟化合物气体的流速比为0.5或以下。
含硅烷气体、H2或碳氢化合物气体及氧气的量较佳根据所蚀刻形貌的尺寸及所蚀刻材料的膜组成来控制。例如,当蚀刻较大尺寸的形貌时,需要较少氧气来保护所蚀刻开口的侧壁。对于对等离子反应器中所产生的聚合物具较低反应性的介电材料(例如,低掺杂度氧化物膜)而言,可降低CxFy/O2比。然而,对于包含较高掺杂剂添加量的介电层(例如,对于BPSG)而言,CxFy/O2比可较高。
氧气与碳氟化合物反应物皆可以5至100sccm的流速供应,较佳为5至50sccm,且更佳5至30sccm。硅烷可以0.3至10sccm的流速供应,且H2或碳氢化合物气体可以1至30sccm的流速供应。例如,当CxFyHz以5至30sccm供应时,氧气反应物流速可自5至20sccm,且氩气(若供应)可自100至600sccm。在另一实例中,CxFyHz为C4F6,含氧气体为O2,且以C4F6∶O2为0.5∶1至3∶1的流速比向等离子蚀刻反应器供应C4F6与O2。在又一实例中,在SAC或双镶嵌结构中蚀刻开口期间系以可避免蚀刻停止的流速向等离子蚀刻反应器供应C4F6与O2。O2可用诸如CO等其他含氧气体补充或替代。例如,CO可以50至500sccm的流速添加至蚀刻气体中。而且,H2或碳氢化合物可以等于或几乎等于O2流速的流速供应,例如,1∶1或1∶2。
在一实例中,双频等离子蚀刻反应器(例如,自本申请案的受让人LamResearch公司购得的ExelanTM双频电容耦合氧化物蚀刻系统)可以0至5000瓦特(较佳1000至2000瓦特)的顶部电极功率及0至5000瓦特(较佳1000至2000瓦特)的底部电极功率运行。深度约为3μm的0.18μm直边开口可在约5分钟内采用以下条件实施单步蚀刻:室压力设定为约15mTorr,一或两个电极的功率在27MHz时为1000瓦特且在2MHz时为2000瓦特,使用由300sccmAr、8sccm O2、1.5sccm SiH4或5sccm CxHy或5sccm H2及15sccm C4F8构成的蚀刻气体混合物。
图1至3展示根据本发明方法添加硅烷的效果。图1a展示当使用不含硅烷的对照蚀刻气体混合物时所获得的弯曲蚀刻轮廓,而图1b展示具有直边开口的介电层实例,其中该些开口皆根据本发明方法蚀刻。在图1a中,当采用以下条件实施介电层单步蚀刻约4分钟时获得具有弯曲开口4的介电层2,所用条件为:室压力设定为约50mTorr,一或两个电极具有约3000瓦特的总功率,100至300sccm Ar、5至20sccm O2及5至20sccm C4F8。在图1b中,采用相同的方法并添加0.5至2sccm SiH4获得具有直边开口8的介电层6。
相同工艺条件对193nm光致抗蚀剂的影响展示于图2至3中。参照图2a,在无硅烷的情况下,蚀刻后抗蚀剂20展示一变形褶皱外观。相反,如图2b所示,添加硅烷可显著减少抗蚀剂22的褶皱。而且,参照图3a,没有硅烷会产生一粗糙的蚀刻后抗蚀剂表面30,而添加硅烷可导致一更光滑抗蚀剂32,如图3b所示。添加硅烷的反应气体与不含硅烷的反应气体混合物相比可使190nm抗蚀剂的选择性提高25%以上,较佳35%以上。用添加H2或碳氢化合物气体代替硅烷或用其他含硅气体可获得类似结果。
本发明方法亦可在平行板等离子反应器中实施,例如图4中所示反应器700。反应器700包括内腔702,该内腔702通过连接至反应器壁上出口处的真空泵704维持在期望真空压力。蚀刻气体可通过供应来自气源706的气体而供至莲蓬头电极712。反应器室700可按照双频平行板的加工配置来运作,其中第一RF源708通过RF匹配网络710耦合至顶部电极莲蓬头电极712。以相同方式,底部电极718通过第二RF匹配网络716耦合至第二RF源714。此外,每一RF源708、714皆一端接地。中等密度等离子可通过以下在反应器中于基板720上产生:向莲蓬头电极712及底部电极718施加来自RF源708、714的RF能,或莲蓬头电极712可电性接地,且可将两种不同频率的RF能施加至底部电极718。亦可使用其他类型的电容耦合反应器,例如,仅具有加电莲蓬头电极或仅通过底部电极加电的反应器。
根据本发明方法,在中等密度双频等离子蚀刻反应器中,可通过添加含硅烷气体、H2及/或碳氢化合物反应物来有利地增强光致抗蚀剂/硬质掩模对介电层的蚀刻速度选择性、降低材料的完整性(抗变形)并增加光致抗蚀剂膜的光滑性。而且,含硅烷气体、H2及/或碳氢化合物反应物的添加可减少高纵横比接点中弯曲的数量。在中等密度双频反应器的受控离解环境中,光致抗蚀剂的光滑性及物理完整性及所蚀刻形貌的轮廓可通过添加含硅烷气体、H2及/或碳氢化合物反应物来控制。含硅烷气体、H2及/或碳氢化合物反应物的添加可导致产生富碳及富硅聚合物,该富碳及富硅聚合物可沉积于光致抗蚀剂顶部并消除离子轰击及化学蚀刻对光致抗蚀剂的影响。含硅烷气体、H2及/或碳氢化合物反应物可作为单独气体添加,或作为预稀释混合物与氩气、氧气、碳氟化合物及/或碳氟氢化合物一起添加。碳氢化合物反应物在引入该室之前可为气体或液体形式。含硅烷气体对碳氟化合物气体的期望流速比小于或等于0.1。H2或碳氢化合物反应物对碳氟化合物的期望流速比小于或等于0.5。
上文已阐述本发明的原理、较佳实施例及实施方式。然而,不应将本发明理解为仅限于所述的特定实施例。因此,上述实施例应理解为例示性而非限制性实施例,且应了解,熟习此项技术者可对此等实施例进行多种改变,而不背离由随附权利要求所界定的本发明范畴。

Claims (20)

1、一种于一介电层中蚀刻开口的方法,其包括:
将一半导体基板支撑于一等离子蚀刻反应器中的一基板支架上,所述基板具有一介电层及一位于所述介电层之上的图案化光致抗蚀剂层及/或硬质掩模;
向所述等离子蚀刻反应器供应一种蚀刻剂气体,所述蚀刻剂气体包括(i)一种碳氟化合物气体(CxFyHz,其中x≥1,y≥1且z≥0);(ii)氢气及/或碳氢化合物气体(CxHy,其中x≥1且y≥4);(iii)一种可选含氧气体;及(iv)一种可选惰性气体;其中氢气及/或碳氢化合物气体对碳氟化合物气体的流速比小于或等于0.5;
将所述蚀刻剂气体激发为一等离子态;
以增强的光致抗蚀剂及/或硬质掩模对介电层的选择性及最小化的光致抗蚀剂变形或条纹在所述介电层中等离子蚀刻开口,其中所述介电层包括其间有或没有蚀刻终止层的低k材料叠层。
2、如权利要求1所述的方法,其中所述等离子蚀刻反应器包括一种包含一上部莲蓬头电极及一底部电极的双频中等密度电容耦合等离子反应器,RF能以两种不同频率供至所述底部电极或以不同的第一及第二频率供至所述莲蓬头电极及底部电极。
3、如权利要求2所述的方法,其中向所述莲蓬头电极施加500至3000瓦特的RF能并向所述底部电极施加500至3000瓦特的RF能。
4、如权利要求1所述的方法,其中在所述蚀刻步骤期间将一RF偏压施加至所述半导体基板。
5、如权利要求1所述的方法,其中所述等离子蚀刻反应器中的压力为5至200mTorr及/或所述基板支架的温度为-20℃至+80℃。
6、如权利要求1所述的方法,其中所述开口包括通道、接点及/或双镶嵌沟道、自对准接点或自对准沟道结构。
7、如权利要求1所述的方法,其中所述开口是具有实质上直边轮廓的0.3μm或更小尺寸的开口,其中所述开口的上、中及下关键尺寸实质上相等,且所述开口具有至少为5∶1的纵横比。
8、如权利要求1所述的方法,其中所述开口是具有实质上直边轮廓的0.25μm或更小尺寸的开口,其中所述开口的上、中及下关键尺寸实质上相等,且所述开口具有至少为10∶1的纵横比。
9、如权利要求1所述的方法,其中所述介电层包括经掺杂或未掺杂二氧化硅、BPSG、BSG、FSG、PSG、TEOS、热氧化硅或无机低k材料或有机低k材料,所述介电层覆盖于一导电层上,所述导电层选自由Al、Al合金、Cu、Cu合金、Ti、Ti合金、经掺杂或未掺杂多晶或单晶硅、TiN、TiW、Mo、及Ti、W、Co及/或Mo的硅化物或其合金组成之群,所述半导体基板包括一可选的选自氮化硅、碳化硅或氧氮化硅的终止层及/或掩模层,所述掩模层位于所述介电层之上及/或所述介电层与导电层之间。
10、如权利要求1所述的方法,其中所述蚀刻剂气体包括一种选自由He、Ne、Kr、Xe及Ar组成之群的惰性气体,所述惰性气体可以5至1000sccm的流速供至所述等离子蚀刻反应器。
11、如权利要求1所述的方法,其中所述蚀刻剂气体包含5至30sccm C4H8、0至20sccm O2及100至500sccm Ar。
12、如权利要求1所述的方法,其中CxFyHz包括至少一种不含氢的碳氟化合物及/或至少一种含氢的碳氟化合物。
13、如权利要求1所述的方法,其中所述蚀刻剂气体包含3至100sccm CxFyHz、0至500sccm含氧气体及5至1000sccm Ar。
14、如权利要求1所述的方法,其中所述含氧气体选自由O2、O3、CO、CO2及其混合物组成之群。
15、如权利要求1所述的方法,其中所述蚀刻剂气体包含5至50sccm CxFyHz(其中,x≥1,y≥1且z≥0)、2.5至25sccm氢气及/或碳氢化合物气体、5至100sccm含氧气体及100至600sccmAr。
16、如权利要求1所述的方法,其中使用以5至500sccm含氧蚀刻剂气体的流速供至所述等离子蚀刻反应器的含氧蚀刻剂气体在所述介电层中形成直边开口。
17、如权利要求1所述的方法,其中所述蚀刻剂气体包含C4F6及O2,其中C4F6及O2以C4F6∶O2流速比为0.5∶1至3∶1的流速供至所述等离子蚀刻反应器。
18、如权利要求1所述的方法,其中与不含氢气及/或碳氢化合物气体的蚀刻剂气体相比,将氢气及/或碳氢化合物气体添加至所述蚀刻剂气体中能够使抗蚀剂选择性提高35%以上。
19、如权利要求1所述的方法,其中所述蚀刻剂气体包含5至30sccm C4F8、2.5至25sccm氢气及/或碳氢化合物气体、0至20sccm氧气及100至500sccmAr。
20、如权利要求1所述的方法,其中所述开口可蚀刻至少2μm深度,且所述CxFyHz于所蚀刻开口侧壁上形成保护侧壁聚合物;所述氢气及/或碳氢化合物反应物能使所述反应器中维持一期望的聚合物前体物质浓度;且所述含氧气体能够维持一期望的侧壁聚合物厚度。
CNA200710097649XA 2002-06-14 2003-06-13 在介电层中蚀刻开口的方法 Pending CN101038875A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/170,424 2002-06-14
US10/170,424 US7547635B2 (en) 2002-06-14 2002-06-14 Process for etching dielectric films with improved resist and/or etch profile characteristics

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB03815546XA Division CN100365777C (zh) 2002-06-14 2003-06-13 具有改进型抗蚀剂及/或蚀刻轮廓特征的介电膜用蚀刻方法

Publications (1)

Publication Number Publication Date
CN101038875A true CN101038875A (zh) 2007-09-19

Family

ID=29732492

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB03815546XA Expired - Lifetime CN100365777C (zh) 2002-06-14 2003-06-13 具有改进型抗蚀剂及/或蚀刻轮廓特征的介电膜用蚀刻方法
CNA200710097649XA Pending CN101038875A (zh) 2002-06-14 2003-06-13 在介电层中蚀刻开口的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB03815546XA Expired - Lifetime CN100365777C (zh) 2002-06-14 2003-06-13 具有改进型抗蚀剂及/或蚀刻轮廓特征的介电膜用蚀刻方法

Country Status (7)

Country Link
US (1) US7547635B2 (zh)
EP (1) EP1518265A2 (zh)
JP (1) JP2005530345A (zh)
CN (2) CN100365777C (zh)
AU (1) AU2003251519A1 (zh)
SG (2) SG155043A1 (zh)
WO (1) WO2003107410A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102741987A (zh) * 2010-02-01 2012-10-17 中央硝子株式会社 干蚀刻剂以及使用其的干蚀刻方法
CN103003925A (zh) * 2010-07-12 2013-03-27 中央硝子株式会社 干蚀刻剂以及干蚀刻方法
CN103531464A (zh) * 2012-07-03 2014-01-22 中国科学院微电子研究所 氮化硅高深宽比孔的刻蚀方法
CN105097767A (zh) * 2014-05-16 2015-11-25 力晶科技股份有限公司 半导体结构与其半导体制作工艺
CN110544627A (zh) * 2019-09-12 2019-12-06 长江存储科技有限责任公司 高深宽比开口的刻蚀方法及刻蚀气体

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US7023090B2 (en) * 2003-01-29 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad and via structure design
US6942816B2 (en) * 2003-02-12 2005-09-13 Lam Research Corporation Methods of reducing photoresist distortion while etching in a plasma processing system
US20040219790A1 (en) * 2003-04-30 2004-11-04 Wilson Aaron R Etching methods, RIE methods, and methods of increasing the stability of photoresist during RIE
US20050178741A1 (en) * 2003-05-03 2005-08-18 Yeoh Joon C. Method of etching porous dielectric
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
KR20070009729A (ko) * 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭
TW200605220A (en) * 2004-06-21 2006-02-01 Hitachi Chemical Co Ltd Organic siloxane film, semiconductor device using same, flat panel display and raw material liquid
US7279770B2 (en) * 2004-08-26 2007-10-09 Micron Technology, Inc. Isolation techniques for reducing dark current in CMOS image sensors
US20060118519A1 (en) * 2004-12-03 2006-06-08 Applied Materials Inc. Dielectric etch method with high source and low bombardment plasma providing high etch rates
US7442649B2 (en) * 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
US7293569B2 (en) * 2005-12-13 2007-11-13 Air Liquide Electronics U.S. Lp Alkylsilanes as solvents for low vapor pressure precursors
US20070243714A1 (en) * 2006-04-18 2007-10-18 Applied Materials, Inc. Method of controlling silicon-containing polymer build up during etching by using a periodic cleaning step
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7601607B2 (en) * 2006-05-15 2009-10-13 Chartered Semiconductor Manufacturing, Ltd. Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
KR100763514B1 (ko) * 2006-06-30 2007-10-04 삼성전자주식회사 반도체 장치의 개구 형성 방법 및 이를 이용한 반도체 장치제조 방법
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20080203056A1 (en) * 2007-02-26 2008-08-28 Judy Wang Methods for etching high aspect ratio features
JP5568209B2 (ja) * 2007-03-01 2014-08-06 ピーエスフォー ルクスコ エスエイアールエル 半導体デバイスの製造方法および製造装置
US7718529B2 (en) * 2007-07-17 2010-05-18 Globalfoundries Inc. Inverse self-aligned spacer lithography
US8535551B2 (en) * 2007-09-28 2013-09-17 Zeon Corporation Plasma etching method
US20100330805A1 (en) * 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
GB0724717D0 (en) * 2007-12-19 2008-01-30 Edwards Ltd Method of treating a gas stream
CN101903989B (zh) 2007-12-21 2013-04-17 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
JP5226296B2 (ja) * 2007-12-27 2013-07-03 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
US20110068086A1 (en) * 2008-03-31 2011-03-24 Zeon Corporation Plasma etching method
JP2011124239A (ja) * 2008-03-31 2011-06-23 Daikin Industries Ltd ドライエッチングガス及びそれを用いたドライエッチング方法
JP5064319B2 (ja) * 2008-07-04 2012-10-31 東京エレクトロン株式会社 プラズマエッチング方法、制御プログラム及びコンピュータ記憶媒体
CN101640174B (zh) * 2008-07-31 2011-08-24 中芯国际集成电路制造(北京)有限公司 半导体结构的刻蚀方法和金属互连层的形成方法
US9018098B2 (en) * 2008-10-23 2015-04-28 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
JP5098969B2 (ja) * 2008-11-21 2012-12-12 株式会社明電舎 エッチング方法及びその装置
US9620410B1 (en) * 2009-01-20 2017-04-11 Lam Research Corporation Methods for preventing precipitation of etch byproducts during an etch process and/or subsequent rinse process
US7972966B2 (en) * 2009-05-19 2011-07-05 International Business Machines Corporation Etching of tungsten selective to titanium nitride
JP5486883B2 (ja) * 2009-09-08 2014-05-07 東京エレクトロン株式会社 被処理体の処理方法
US20110223768A1 (en) * 2010-03-10 2011-09-15 United Microelectronics Corp. Method for Forming Contact Opening
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
JP5674375B2 (ja) * 2010-08-03 2015-02-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8395228B2 (en) 2010-11-08 2013-03-12 International Business Machines Corporation Integration process to improve focus leveling within a lot process variation
JP2013030531A (ja) * 2011-07-27 2013-02-07 Central Glass Co Ltd ドライエッチング剤
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9082719B2 (en) 2012-10-19 2015-07-14 Infineon Technologies Ag Method for removing a dielectric layer from a bottom of a trench
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US9006106B2 (en) * 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
JP6396699B2 (ja) * 2014-02-24 2018-09-26 東京エレクトロン株式会社 エッチング方法
JP6151215B2 (ja) * 2014-05-15 2017-06-21 東京エレクトロン株式会社 プラズマエッチング方法
FR3023970B1 (fr) * 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation d'ouvertures de contact pour un transistor
US9558928B2 (en) * 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
JP6327295B2 (ja) * 2015-08-12 2018-05-23 セントラル硝子株式会社 ドライエッチング方法
JP6110530B2 (ja) * 2016-02-10 2017-04-05 Sppテクノロジーズ株式会社 プラズマエッチング装置
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
CN107785310B (zh) * 2016-08-25 2020-04-28 上海和辉光电有限公司 一种柔性基板的剥离方法
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10276439B2 (en) 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures
US10153209B1 (en) 2018-02-05 2018-12-11 Globalfoundries Inc. Insulating gate separation structure and methods of making same
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
JP7110034B2 (ja) * 2018-08-24 2022-08-01 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
KR20220056249A (ko) 2018-10-19 2022-05-04 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
JP7320085B2 (ja) 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
US11289375B2 (en) 2020-03-23 2022-03-29 International Business Machines Corporation Fully aligned interconnects with selective area deposition
TW202212012A (zh) * 2020-06-15 2022-04-01 美商蘭姆研究公司 在腔室清潔中的錫氧化物的移除

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4283249A (en) * 1979-05-02 1981-08-11 International Business Machines Corporation Reactive ion etching
JPS5775429A (en) 1980-10-28 1982-05-12 Toshiba Corp Manufacture of semiconductor device
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
DE3122641A1 (de) * 1981-06-06 1982-12-23 Herberts Gmbh, 5600 Wuppertal Kathodisch abscheidbares waessriges elektrotauchlack-ueberzugsmittel
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JPS63104425A (ja) * 1986-10-09 1988-05-09 インタ−ナショナル・ビジネス・マシ−ンズ・コ−ポレ−ション バイアの形成方法
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
FR2619578A1 (fr) 1987-08-18 1989-02-24 Air Liquide Procede de gravure ionique reactive a basse tension d'autopolarisation par addition de gaz inertes
DE68926855T2 (de) * 1988-11-18 1997-02-13 Shibaura Eng Works Ltd Trockenätzverfahren
JPH0362968A (ja) * 1989-07-31 1991-03-19 Fujitsu Ltd 半導体装置の製造方法
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5013400A (en) * 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
US5013398A (en) * 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
US6251792B1 (en) * 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
JPH04239723A (ja) 1991-01-23 1992-08-27 Nec Corp 半導体装置の製造方法
JP3038950B2 (ja) * 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
JP3000717B2 (ja) * 1991-04-26 2000-01-17 ソニー株式会社 ドライエッチング方法
JPH04354331A (ja) * 1991-05-31 1992-12-08 Sony Corp ドライエッチング方法
US6238588B1 (en) * 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5242538A (en) 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US6194325B1 (en) * 1992-09-08 2001-02-27 Applied Materials Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5716485A (en) * 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching
EP0777267A1 (en) 1995-11-28 1997-06-04 Applied Materials, Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
JP3309717B2 (ja) * 1996-06-26 2002-07-29 三菱電機株式会社 集積回路の配線の製造方法
JP2904163B2 (ja) * 1996-12-11 1999-06-14 日本電気株式会社 半導体装置の製造方法
JPH10214822A (ja) * 1997-01-30 1998-08-11 Nec Corp プラズマエッチング装置およびエッチング方法
JP3027951B2 (ja) * 1997-03-12 2000-04-04 日本電気株式会社 半導体装置の製造方法
US5846884A (en) * 1997-06-20 1998-12-08 Siemens Aktiengesellschaft Methods for metal etching with reduced sidewall build up during integrated circuit manufacturing
JPH1116887A (ja) 1997-06-23 1999-01-22 Hitachi Ltd エッチング方法
US5965463A (en) * 1997-07-03 1999-10-12 Applied Materials, Inc. Silane etching process
US6183655B1 (en) * 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
JPH11111680A (ja) 1997-09-30 1999-04-23 Yasuhiro Horiike エッチング方法
US5965035A (en) * 1997-10-23 1999-10-12 Applied Materials, Inc. Self aligned contact etch using difluoromethane and trifluoromethane
US5872061A (en) * 1997-10-27 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma etch method for forming residue free fluorine containing plasma etched layers
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6277758B1 (en) * 1998-07-23 2001-08-21 Micron Technology, Inc. Method of etching doped silicon dioxide with selectivity to undoped silicon dioxide with a high density plasma etcher
US6379872B1 (en) * 1998-08-27 2002-04-30 Micron Technology, Inc. Etching of anti-reflective coatings
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6080662A (en) * 1998-11-04 2000-06-27 Vanguard International Semiconductor Corporation Method for forming multi-level contacts using a H-containing fluorocarbon chemistry
US6217786B1 (en) * 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6191043B1 (en) * 1999-04-20 2001-02-20 Lam Research Corporation Mechanism for etching a silicon layer in a plasma processing chamber to form deep openings
US6461529B1 (en) 1999-04-26 2002-10-08 International Business Machines Corporation Anisotropic nitride etch process with high selectivity to oxide and photoresist layers in a damascene etch scheme
JP2001110784A (ja) 1999-10-12 2001-04-20 Hitachi Ltd プラズマ処理装置および処理方法
US6391790B1 (en) * 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
US7132363B2 (en) * 2001-03-27 2006-11-07 Advanced Micro Devices, Inc. Stabilizing fluorine etching of low-k materials
US6762127B2 (en) * 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
JP5038567B2 (ja) 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
JP2003133287A (ja) 2001-10-30 2003-05-09 Matsushita Electric Ind Co Ltd ドライエッチング方法
US6867145B2 (en) * 2001-12-17 2005-03-15 Hynix Semiconductor Inc. Method for fabricating semiconductor device using photoresist pattern formed with argon fluoride laser
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US6897154B2 (en) * 2002-06-14 2005-05-24 Applied Materials Inc Selective etching of low-k dielectrics
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
AU2003244166A1 (en) 2002-06-27 2004-01-19 Tokyo Electron Limited Plasma processing method
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7229930B2 (en) * 2003-01-13 2007-06-12 Applied Materials, Inc. Selective etching of low-k dielectrics
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
KR20070009729A (ko) * 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102741987A (zh) * 2010-02-01 2012-10-17 中央硝子株式会社 干蚀刻剂以及使用其的干蚀刻方法
US9093388B2 (en) 2010-02-01 2015-07-28 Central Glass Company, Limited Dry etching agent and dry etching method using the same
US9230821B2 (en) 2010-02-01 2016-01-05 Central Glass Company, Limited Dry etching agent and dry etching method using the same
CN103003925A (zh) * 2010-07-12 2013-03-27 中央硝子株式会社 干蚀刻剂以及干蚀刻方法
US9017571B2 (en) 2010-07-12 2015-04-28 Central Glass Company, Limited Dry etching agent and dry etching method
CN103003925B (zh) * 2010-07-12 2016-05-18 中央硝子株式会社 干蚀刻剂以及干蚀刻方法
CN103531464A (zh) * 2012-07-03 2014-01-22 中国科学院微电子研究所 氮化硅高深宽比孔的刻蚀方法
CN103531464B (zh) * 2012-07-03 2017-03-22 中国科学院微电子研究所 氮化硅高深宽比孔的刻蚀方法
CN105097767A (zh) * 2014-05-16 2015-11-25 力晶科技股份有限公司 半导体结构与其半导体制作工艺
CN110544627A (zh) * 2019-09-12 2019-12-06 长江存储科技有限责任公司 高深宽比开口的刻蚀方法及刻蚀气体

Also Published As

Publication number Publication date
SG145568A1 (en) 2008-09-29
AU2003251519A1 (en) 2003-12-31
US20030232504A1 (en) 2003-12-18
SG155043A1 (en) 2009-09-30
US7547635B2 (en) 2009-06-16
WO2003107410A2 (en) 2003-12-24
EP1518265A2 (en) 2005-03-30
CN100365777C (zh) 2008-01-30
JP2005530345A (ja) 2005-10-06
WO2003107410A3 (en) 2004-04-15
CN1666323A (zh) 2005-09-07

Similar Documents

Publication Publication Date Title
CN100365777C (zh) 具有改进型抗蚀剂及/或蚀刻轮廓特征的介电膜用蚀刻方法
JP6811284B2 (ja) 3d nandフラッシュメモリの製造方法
CN1132232C (zh) 半导体器件制造工艺中的等离子体蚀刻法
KR101158205B1 (ko) 고종횡비 콘택트를 에칭하는 방법
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6380095B1 (en) Silicon trench etch using silicon-containing precursors to reduce or avoid mask erosion
TWI352387B (en) Etch methods to form anisotropic features for high
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
KR20020027323A (ko) 실리콘 산화물 및 반사방지용 유전체 코팅의 식각 방법
US20090286402A1 (en) Method for critical dimension shrink using conformal pecvd films
CN1781185A (zh) 在双掺杂栅应用中改进轮廓控制和提高n/p负载的方法
CN1860595A (zh) 等离子体刻蚀低k有机硅酸盐材料的方法
KR100255405B1 (ko) 드라이에칭방법
JPH0927483A (ja) 酸化物層のエッチング方法
EP1611603A1 (en) Method for plasma etching using periodic modulation of gas chemistry
CN101124661A (zh) 碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
CN1529905A (zh) 有机绝缘膜的蚀刻方法和双波纹处理方法
TWI797841B (zh) 在選擇性地蝕刻氮化矽間隔物期間改進輪廓控制之方法
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
CN1599038A (zh) 次氟酸酯、氟代过氧化物和/或氟代三氧化物在碳氟化合物刻蚀等离子体中作为氧化剂的应用
CN114783867A (zh) 一种氧化硅刻蚀方法
CN111819669B (zh) 形成气隙的系统及方法
JPH1098029A (ja) 基板から有機反射防止膜をエッチングする処理法
US7226867B2 (en) Method of etching a metal layer using a mask, a metallization method for a semiconductor device, a method of etching a metal layer, and an etching gas

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication