CN1781185A - 在双掺杂栅应用中改进轮廓控制和提高n/p负载的方法 - Google Patents

在双掺杂栅应用中改进轮廓控制和提高n/p负载的方法 Download PDF

Info

Publication number
CN1781185A
CN1781185A CN200480011829.6A CN200480011829A CN1781185A CN 1781185 A CN1781185 A CN 1781185A CN 200480011829 A CN200480011829 A CN 200480011829A CN 1781185 A CN1781185 A CN 1781185A
Authority
CN
China
Prior art keywords
etching
silicon
containing gas
gas
polysilicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200480011829.6A
Other languages
English (en)
Other versions
CN100405551C (zh
Inventor
H·德尔普波
F·林
C·李
V·瓦赫迪
T·A·坎普
A·J·米勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1781185A publication Critical patent/CN1781185A/zh
Application granted granted Critical
Publication of CN100405551C publication Critical patent/CN100405551C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供了在等离子体蚀刻室中蚀刻多晶硅栅结构的方法。本方法首先限定保护待蚀刻的多晶硅薄膜的图案。然后,产生等离子体。接下来,基本蚀刻掉所有未保护的多晶硅薄膜。然后,引入含硅气体,引入含硅气体的同时蚀刻剩下的多晶硅薄膜。还提供了配置成后在蚀刻过程中引入含硅气体的蚀刻室。

Description

在双掺杂栅应用中改进轮廓控制和提高N/P负载的方法
                           发明人
Helene Del Puppo,Frank Lin,Chris Lee,Vahid Vahedi,ThomasA.Kamp,和Alan J.Miller
发明背景
本发明涉及在制备半导体器件中等离子体蚀刻浅沟和/或栅结构的改进方法。
在制备比如集成电路的半导体基制品时,可以采用蚀刻和/或沉积步骤建立或去除半导体基片上的材料层。常规蚀刻工艺包括使用一种或多种激发成等离子体状态的蚀刻气体以实现材料层的等离子体蚀刻。这种等离子体蚀刻已经被用来在集成电路里提供单个晶体管的浅沟绝缘。蚀刻后,浅沟里填上介电材料。共同转让的美国专利Nos.6218309和6287974公开了浅沟等离子体蚀刻方法。
制备晶体管时,常规方法是将光刻胶层的图案蚀刻到下面的硬掩模层,去除光刻胶层,并将硬掩模的图案蚀刻到多晶硅层以及向下到栅氧化物层。请参见例如美国专利No.6283131。在多晶硅蚀刻例如活性离子蚀刻方法中,通过侧向钝化多晶硅线同时垂直蚀刻暴露的多晶硅层,得到垂直轮廓。在蚀刻过程中钝化不足可能导致多晶硅线为弓形或凹形、掩模/多晶硅界面出现咬边以及在多晶硅线底部出现槽口。同时,过分钝化可能导致轮廓逐渐变细以及在多晶硅线基部出现基脚。
另外,对于双掺杂应用而言,其中在基板上共存着不同类型的掺杂区,所以不同掺杂区的蚀刻行为也不同。所以,这可能导致轮廓不同,而轮廓不同导致不同掺杂区之间关键尺寸发生变化。而且,还可能发生蚀刻速率微负载,从而对栅完整性有负面影响。
根据上述内容,需要提供适当钝化等级的方法和装置,以确保得到没有槽口的蚀刻轮廓。另外,需要减缓双掺杂硅蚀刻工艺中出现的轮廓差别和蚀刻速率微负载。
发明综述
泛泛而言,本发明通过在蚀刻操作中引入钝化促进气体来防止出现槽,满足了这些需要。值得称道的是,本发明可以通过多种方式实现,包括作为装置、系统、器件或方法。下面将描述本发明的多个具有创造性的实施方案。
在硅层上蚀刻浅沟的方法包括将单个半导体基片支撑在等离子体蚀刻室内的基片支架上,并采用包括含硅气体的蚀刻气体在该半导体基片的硅层上等离子体蚀刻出深度小于1微米、宽度小于0.5微米的浅沟。通过控制硅在整个半导体基片上的沉积和/或提供顶部和/或底部倒角,可以采用含硅气体改进对轮廓的控制和/或对关键尺寸的控制。
根据一个实施方案,在蚀刻硅沟层的上部区域时,以第一流速将含硅气体供给蚀刻室,在蚀刻硅沟层的中部区域时,以第二流速将含硅气体供给蚀刻室,在蚀刻硅沟层的下部区域时,以第三流速将含硅气体供给蚀刻室,其中第一和第三流速大于第二流速。优选第一流速能有效实现沟的顶部倒角,和/或优选第三流速能有效实现沟的底部倒角。优选上部区域包含小于30%的沟深度,和/或底部区域包含小于30%的沟深度,和/或沟侧壁的倾角是70-89°。在某实施方案中,第一流速能有效地以小于80°角使沟上部的侧壁逐渐变细,第二流速能有效地以大于80°角使沟中部的侧壁逐渐变细,第三流速能有效地以小于80°角使沟下部的侧壁逐渐变细。例如,第一流速能有效地以72-82°角使沟上部的侧壁逐渐变细,第二流速能有效地以82-88°角使沟中部的侧壁逐渐变细,第三流速能有效地以72-82°角使沟下部的侧壁逐渐变细。
含硅气体可以包括SiCl4、SiBr4、CH3SiH3、HSiCl3、Si2H6、SiF4、SiH2Cl2、SiH4或其混合物。蚀刻气体还可以包括选自Cl2、HBr、CxFy、CxFyHz、SF6、HCl或其混合物的含卤素气体。在优选实施方案中,Cl2以5-500sccm的流速供给蚀刻室,含硅蚀刻气体包含SiCl4,以1-100sccm的流速供给蚀刻室。在另一实施方案中,蚀刻气体包含Cl2、O2、HBr、He、CF4、HCl、Ar、N2、SF6或其混合物。优选地,通过将射频能感应耦合进等离子体室中和/或等离子体室处于小于100mTorr压力下,使蚀刻气体激励成等离子体状态。
硅层可以是掩模比如氮化硅掩模层下面的单晶硅晶片的一部分。或者,硅层可以是基片比如单晶硅晶片上的外延层、应变硅层或硅锗层。
在半导体基片上蚀刻栅结构的方法包括,将半导体基片支撑在等离子体蚀刻室内的基片支架上,并采用包括含硅气体的蚀刻气体在半导体基片上的硅层上等离子体蚀刻栅结构。
在栅蚀刻过程中,蚀刻气体可以包括HBr、O2、Cl2、He、CF4、N2、NF3、Ar或其混合物,和/或含硅气体可以包括SiCl4、SiBr4、CH3SiH3、Si2H6、SiF4、SiH2Cl2、HSiCl3、SiH4或其混合物。栅栈(gate stack)优选包含位于硅晶片上的多晶硅层,其中所述多晶硅层位于下面的栅氧化物和上面的硬或软掩模层之间,掩模层例如氮化硅掩模层或光刻胶。在某实施方案中,在没有含硅气体条件下蚀刻多晶硅层的上部,在以1-100sccm的流速供应含硅气体时可蚀刻该多晶硅层的下部。优选地,通过将射频能感应耦合进等离子体室中和/或等离子体室处于小于100mTorr压力下,使蚀刻气体激励成等离子体状态。在栅蚀刻中,可以通过控制硅在整个半导体基片上的沉积,采用含硅气体改进轮廓控制和/或关键尺寸控制。在优选方法中,栅结构通过三步蚀刻,第一步骤的蚀刻气体包括Cl2、HBr、O2和CF4,第二步骤的蚀刻气体包括HBr和O2,第三步骤的蚀刻气体包括HBr、O2和He,其中HBr供给蚀刻室的流速在第二步骤比第三步骤大。
在一个实施方案中,提供了在等离子体蚀刻室中蚀刻多晶硅栅结构的方法。该方法首先确定保护待蚀刻的多晶硅薄膜的图案。然后,生成等离子体。接下来,基本上所有未保护的多晶硅薄膜被蚀刻。随后,引入含硅气体,在引入含硅气体同时蚀刻剩下的多晶硅薄膜。
在另一实施方案中,提供了减少基片上不同掺杂材料之间蚀刻速率微负载的方法。该方法首先在蚀刻室里形成等离子体。然后,蚀刻基片。接下来,由蚀刻产生的副产物形成钝化层。然后,改进钝化层。
在又另一实施方案中,提供了半导体加工系统。该半导体加工系统包括蚀刻室。该蚀刻室包括气体进口、配置用以在蚀刻室里激发等离子体的顶置电极,和固定基片的支架。提供了控制器,配置用于探测蚀刻操作中钝化不足的情形。该控制器进一步配置成响应于探测到的钝化不足情形,在蚀刻操作中通过气体进口引入促进钝化的气体。
在又一实施方案中,提供了用于在蚀刻工艺中改进多晶硅向氧化物选择性的方法。该方法首先在蚀刻室中提供将进行等离子体蚀刻的基片。然后,在蚀刻室中激发等离子体。接下来,当基片被蚀刻时,在栅氧化物上沉积含硅的氧化物。
应该知道,上面的概述和下面的详述是示例性的,仅仅用于解释,不是对本发明的限制,本发明如同权利要求所申明的。
附图概述
附图结合在本说明书中并构成了本说明书的一部分,其示例性说明了本发明的实施方案,和说明书一起用来解释本发明的原理。
图1给出了在形成浅沟隔离特征之前,在硅基片上的叠层。
图2给出了浅沟蚀刻工艺,其中已经去除了光刻胶和BARC层并且已经蚀刻穿透了氮化硅和衬垫氧化物层。
图3给出了蚀刻进硅基片里的浅沟隔离特征。
图4是具有弓形轮廓和带亚沟(subtrench)的底部的浅沟隔离特征的显微照片。
图5是用含硅气体蚀刻的浅沟隔离特征的显微照片。
图6是包括顶部倒角和底部倒角的浅沟隔离特征的显微照片。
图7是其上具有叠层的硅基片在进行栅蚀刻之前的图。
图8是其上的氮化硅和多晶硅层被蚀刻穿透直达栅氧化物的硅基片。
图9A是在整个蚀刻步骤中蚀刻气体混合物中不采用含硅气体的蚀刻轮廓的简化示意图。
图9B是具有CD控制的蚀刻栅的显微照片。
图10是简化的横截面示意图,给出了已经用含硅气体蚀刻了的双掺杂栅结构,其中含硅气体用于缓和由于掺杂区域不相似导致的蚀刻速率不同。
图11是蚀刻室的简化示意图,该蚀刻室配置成在整个蚀刻工艺中提供含硅气体。
优选实施方案详述
现在参考附图详细描述本发明的几个示例性实施方案。在下面的描述中,为了提供对本发明的整体理解,给出了多个具体细节。但是,本领域技术人员应该知道,没有某些或全部这些具体细节,也可以实施本发明。在其它情况下,为了不导致使本发明出现不必要的含糊,不再详细描述已知的工艺操作。
许多等离子体蚀刻的应用场合依赖钝化层的生成,以获取所需的特征轮廓。轮廓控制的主要机制涉及蚀刻和沉积反应的平衡。蚀刻反应通常由反应室参数,例如输入功率、压力和气流,直接控制。在硅晶片的等离子体蚀刻中,蚀刻反应产物是主要的沉积源,这导致沉积机制不能直接控制。
对浅沟和栅应用而言,采用了各种蚀刻气体化学物质。例如,当使用HBr-O2蚀刻气体化学物质时,钝化层主要由SixBryOz构成。对Cl2-O2蚀刻气体化学物质而言,钝化层主要由SixClyOz构成。钝化层的其它组分可以包括N、C、H和F。而且,硅晶片和/或蚀刻室材料例如石英组分被蚀刻,导致挥发性的硅蚀刻副产物被引入到钝化层中。
如上所述,由于硅源例如硅晶片和/或蚀刻室材料被蚀刻,硅可能引入到钝化层中。这些硅源是二级产物,不受蚀刻气体化学物质的直接控制。而且,由于挥发性硅蚀刻副产物从晶片表面送到抽真空口,所以含硅副产物在晶片表面的沉积是有限可能的。这可以导致在整个晶片上硅副产物浓度不一致,并且导致蚀刻的特征轮廓和关键尺寸不一致。
许多浅沟应用场合要求顶部和/或底部倒角。美国专利No.5807789描述了具有渐细轮廓和圆形拐角的浅沟。倒角的主要机制是通过生成再沉积副产物。在美国专利No.5801083中描述了将聚合物隔离物用于浅沟隔离区的顶部倒角。共同转让的美国专利No.6218309和No.6287974描述了浅沟隔离特征的顶部倒角。根据一个实施方案,在硅层中蚀刻浅沟的方法包括将单一半导体基片支撑在等离子体蚀刻室里的基片支架上,并且采用包含了含硅气体的蚀刻气体,在半导体基片的硅层上蚀刻出深度小于1微米、宽度小于0.5微米的浅沟。
等离子体可以在各种等离子体反应器中产生。这些等离子体反应器中通常具有能量源,该能量源采用RF能、微波能、磁场等产生中等密度到高密度的等离子体。例如,高密度等离子体可以在Lam ResearchCorporation市售的变压器耦合等离子体仪(TCPTM)中制备,该等离子体仪也称作感应耦合等离子反应器、电子回旋加速器共振(ECR)等离子体反应器、螺旋波(helicon)等离子体反应器等。在共同拥有的美国专利No.5820261中公开了能提供高密度等离子体的高流量等离子体反应器。等离子体也可以在平行板蚀刻反应器比如共同拥有的美国专利No.6090304所描述的双频等离子体蚀刻反应器中制备。
该方法可以在感应耦合等离子体反应器中执行,该反应器通过连接到反应器壁上的出口的真空泵维持在所需的真空压力下。通过从气体源将气体供给绕着介电窗下面延伸的强制通风系统,可以将蚀刻气体送到莲蓬头或注射器装置中。通过将RF能量从RF源供到反应器顶部介电窗外面的外部RF天线,比如具有一圈或多圈绕组的平面螺旋线圈,可以在反应器中生成高密度等离子体。等离子体发生源可以是模块式安装装置的一部分,该装置以真空气密方式可拆卸地安装在反应器上端。
晶片可以支撑在反应器内的基片支架比如悬臂卡盘装置上,悬臂卡盘装置由安装在反应器侧壁的模块式安装装置进行活动支撑。这种基片支架位于悬臂式安装的支撑臂的一端,从而可以通过反应器侧壁上的开口将整个基片支架/支架臂组件从反应器中移出。基片支架可以包括卡盘装置比如静电卡盘(ESC),而且基片可以被介电聚焦环环绕着。卡盘可以包括RF偏压电极,用于在蚀刻过程中将RF偏压施加到基片上。来自气体源的蚀刻气体可以流经窗口和下面气体分布板(GDP)之间的通道,通过GDP上的气体出口进入蚀刻室内部。反应器还可以包括从GDP延伸出来的热衬里。
本发明还可以在平行板等离子反应器中进行,该反应器包括由连接到反应器壁上的出口的真空泵维持在所需真空压力下的内部。通过从气体源供应气体可以将蚀刻气体供给莲蓬头电极,通过从一个或多个RF源将RF能量供给莲蓬头电极和/或底部电极,可以在反应器内产生中等密度的等离子体。或者,可以将莲蓬头电极电接地,并且能够将两种不同频率的RF能量供给底部电极。
各种气体的流速取决于比如等离子体反应器类型、能量设置、反应器内的真空压力、等离子体源的离解速率等因素,这对本领域技术人员而言是显而易见的。
反应器内压力优选维持在能使等离子体在反应器中维持的合适等级。通常,反应器压力太低会导致等离子体熄灭,但在高密度蚀刻反应器中,反应器压力太高会导致蚀刻终止。对高密度等离子体反应器而言,优选反应器压力低于100mTorr。对中等密度的等离子反应器而言,反应器优选压力是30-100mTorr,更优选是50-100mTorr。由于等离子体集中在正被蚀刻的半导体基片上,所以基片表面的真空压力可能高于反应器设置的真空压力。
对正被蚀刻的半导体基片进行支撑的基片支架冷却基片,从而足以防止有害的副反应,比如基片上任何光刻胶的燃烧和不需要的反应剂气体自由基的形成。在高密度和中高密度等离子体反应器中,足以将基片支架冷却到-10-+80℃。基片支架可以包括底部电极和ESC,底部电极用以在基片处理过程中向基片提供RF偏压,而ESC用于夹紧基片。例如,基片可以包含硅晶片,硅晶片采用静电夹持并通过在晶片和ESC顶部表面之间施加所需压力的氦气(He)进行冷却。为了将晶片维持在所需温度,晶片和卡盘之间的He可以维持在10-30Torr的压力。
图1-3示出了在硅层上蚀刻浅沟的方法。如图1所示,硅基片10在其上包括叠层,叠层包括100的衬垫氧化物12、1500厚的氮化硅层14、600厚的底部减反射涂层(BARC)16和3200厚的光刻胶层18,在光刻胶上已经进行了图案化以提供开口20。在硅晶片上蚀刻浅沟的过程中,光刻胶18包括对应于所需浅沟的多个开口20。氮化硅层14在开口20的位置被打开,形成带有图案的硬掩模。
在打开硬掩模14的过程中,用等离子体蚀刻打开BARC层16。在示例性的打开BARC的步骤中,蚀刻室的真空压力可以是5mTorr,用来将射频能量感应耦合到蚀刻室中的天线可以设成350瓦。基片支架可以包括电极,该电极施加了88瓦的能量从而提供RF偏压。采用50sccm的CF4等离子体蚀刻60秒,同时维持晶片温度为大约60℃,就可以打开BARC。接下来,将蚀刻室设置在相同压力但增加天线功率到1000瓦,打开氮化硅层14和衬垫氧化物12,形成开口22。在维持晶片温度为约60℃的同时用70sccm的CHF3和300sccm的Ar蚀刻44秒,可以蚀刻氮化硅层。此后,将蚀刻室压力设为10mTorr、天线设为1000瓦,去除光刻胶和BARC。采用200sccm的O2,45秒后可以去除光刻胶。
该去除步骤的结果是除去了BARC和光刻胶层,并且硅暴露出来的区域被O2等离子体氧化。在示例性方法中,蚀刻室设为5mTorr,供给天线的功率为350瓦。采用50sccm的CF4持续7秒同时维持晶片温度为约60℃,对氧化的硅进行蚀刻。接下来,在蚀刻室压力设为50mTorr并且供给天线的功率为1000瓦的条件下,可以蚀刻硅基片。可以向底部电极供应约220瓦的功率,蚀刻气体可以包括125sccm的Cl2、14sccm的O2和14sccm的N2,同时维持晶片温度为约60℃。为了提供所需的倒角和/或轮廓和/或CD控制,还可以在蚀刻气体中加入含硅气体,例如SiCl4。在形成了图3所示的沟结构24后,将晶片浸入HF 2分钟然后用去离子水旋转冲洗,使晶片清洁。
图4是采用125sccm的Cl2但在蚀刻气体中没有加入SiCl4形成的沟结构的显微照片。如图4所示,沟具有弓形轮廓和带亚沟的底部。在蚀刻气体中加入含硅气体,可以改进对关键尺寸(CD)和轮廓的控制。图5是在蚀刻浅沟时采用了含硅气体形成的沟结构的显微照片。图5所示的沟结构采用75sccm的Cl2和25sccm的SiCl4蚀刻。图6是在蚀刻浅沟时采用含硅气体从而形成了顶部和底部具有倒角的渐细沟的显微照片。
图7和图8是栅蚀刻的示意图。如图7所示,硅晶片30包括其上的叠层,叠层包括15厚的氧化物层32、1500厚的多晶硅层34、200厚的氮化硅层36和2000厚的光刻胶层38,光刻胶层已经进行了图案化,从而在其中包括对应于栅蚀刻位置的开口40。值得注意的是,屏障层不限于氮化硅。例如,本领域技术人员知道,栅应用中的硬掩模是二氧化硅(SiO2)或者氧氮化硅(SiOxNy)。另外,屏障层的厚度可以是300,或任何其它合适的厚度。虽然图7只示出了一个开口40,但在晶片上的蚀刻栅结构中,有许多对应于栅所需位置的开口40。
示例性的栅蚀刻过程如下。首先,在蚀刻室压力为15mTorr、天线功率为400瓦的条件下进行修整步骤。修整步骤的蚀刻气体可以包括30sccm的HBr和10sccm的O2。然后,将蚀刻室设为10sccm的CF4,蚀刻氮化硅层36,以在氮化硅层上提供与开口40相对应的开口。随后,通过穿透(breakthrough)步骤、第一主蚀刻、第二主蚀刻和过度蚀刻这四个步骤蚀刻多晶硅。在穿透步骤中,由于有些化学物质例如HBr不容易或均一地蚀刻SiO2,所以蚀刻的是位于硅表面的原有(native)氧化物。本领域技术人员显然知道,如果该化学物质含有CF4,就不需要采用穿透步骤。在第一主蚀刻步骤中,蚀刻室设为10mTorr,天线功率设为800瓦。蚀刻气体包括50sccm的Cl2、175sccm的HBr、60sccm的CF4和5sccm的O2。在第二主蚀刻步骤中,蚀刻室设为30mTorr,天线设为350瓦。第二主蚀刻的蚀刻气体包括300sccm的HBr和3sccm的O2。在过度蚀刻步骤中,蚀刻室设为80mTorr,天线供给功率为500瓦。过度蚀刻中的蚀刻气体包括130sccm的HBr、4sccm的O2和约270sccm的He。含硅气体例如SiCl4,可以加到第一或第二主蚀刻和/或过度蚀刻步骤中,以改进栅轮廓控制和晶片CD控制。多晶硅蚀刻后,开口42延伸到栅氧化物32,如图8所示。
图9A是在过度蚀刻步骤中在蚀刻气体混合物中不采用含硅气体得到的蚀刻轮廓的简化示意图。蚀刻的栅特征102a-102c包括在每个蚀刻栅特征基部的槽100。为了在蚀刻步骤最后去除多晶硅薄层时,即在过度蚀刻步骤中,保持栅的完整性,在进行过度蚀刻步骤时需要保持对氧化物的高选择性。通常知道,栅氧化物凹坑化(pitting)是这样一种现象,在小型局部区域里栅氧化物或栅材料被选择性蚀刻,导致下面的硅发生蚀刻产生凹坑。
图9B是关键尺寸得到控制的蚀刻栅特征的示例性显微照片,是通过根据本发明的一个实施方案在过度蚀刻工艺中加入含硅气体获得的。硅蚀刻气体混合物中的含硅气体,增加了侧壁钝化的数量,以减弱所有的成槽作用。因此,由于加入了硅蚀刻气体使侧壁钝化,得到了具有无槽底部的栅特征102a-102c。在一个实施方案中,就硬掩模栅应用而言,侧壁钝化主要由Si、O和X基材料构成,其中X是卤素或卤素的混合物,例如溴、氯、氟等。本领域技术人员知道卤素可以取决于蚀刻化学。因此,开始的两个蚀刻步骤通过包括蚀刻副产物的反应,将来自基片的硅引入到钝化层中。但是,在多晶硅蚀刻最后,即过度蚀刻步骤中,由于耗尽了待蚀刻的硅材料,所以也耗尽了硅基副产物。同时,提高了蚀刻物质浓度。因此,在过度蚀刻步骤几乎不发生钝化,在多晶硅线基部已有的钝化可能受到蚀刻物质的攻击,导致多晶硅线出现成槽作用。但是,在过度蚀刻步骤中加入硅,可以替代耗尽的硅,后者以前由被蚀刻的硅材料提供。
图10给出了蚀刻的双掺杂栅结构的横截面简化示意图。此处,栅110由n型掺杂的多晶硅组成,而栅112由p型掺杂的多晶硅组成。应该注意到在过度蚀刻步骤中加入含硅气体促进了钝化层的形成,从而缓和了由基片上掺杂栅结构不相似导致的差别。侧向攻击的量也可以随着栅蚀刻工艺最后步骤中掺杂类型而改变,在此最后步骤时蚀刻化学物质对栅氧化物的选择性更强。结果,在硅蚀刻第一步骤中确定的轮廓可以在最后步骤中改变,导致不同掺杂区域之间轮廓和关键尺寸不同,即,也称作n/p轮廓负载。因此,在另一实施方案中,在蚀刻最后步骤例如过度蚀刻步骤中在气体混合物中加入硅源,降低了不同掺杂硅之间的蚀刻速率微负载。另外,硅气体使多晶硅线更加钝化,从而降低了不同类型掺杂硅的侧向蚀刻差异对轮廓限定的影响。
相应地,在硅蚀刻过程中的蚀刻气体混合物中加入含硅气体会消除在特征基底的成槽作用。另外,在过度蚀刻步骤的蚀刻气体混合物中的含硅气体会增加对氧化物的选择性。而且,在硅蚀刻过程的蚀刻气体混合物里的含硅气体会降低同一基片上不同类型掺杂硅之间的轮廓差异。也就是说,在硅蚀刻过程的蚀刻气体混合物中加入含硅气体会减少n型掺杂、p型掺杂或未掺杂的多晶硅线之间的关键尺寸偏差。
图11是蚀刻室的简化示意图,该蚀刻室配置成在过度蚀刻工艺中提供含硅气体。蚀刻室120包括气体进口122、顶置电极124、支撑晶片或半导体基片126的静电卡盘128。蚀刻室120可以包括出口130,该出口可以与用以对蚀刻室抽真空的泵流体连通。工艺气体供应源132和气体进口122流体连通。应该注意的是,钝化促进气体,例如如上所述的含硅气体,可以通过气体进口122提供给蚀刻室。控制器134和蚀刻室120和工艺气体供应源134连通,其配置成用以探测钝化不足的情况,即过度蚀刻步骤。响应于所探测的钝化不足的情况,控制器可以触发工艺气体供应源134将含硅气体供给蚀刻室120。应该注意的是,控制器134可以通过任何适当的端点探测探测出钝化不足的情况,或者过度蚀刻工艺的启动可以触发含硅气体的供应。而且,控制器134在一个实施方案中是普通计算机。
表1总结了与引入含硅气体比如SiCl4相关的参数。
            表1
压力 0.5-200mTorr
功率 10-5000W
SiCl4流速 0.1-300sccm
温度 -77℃-200℃
应该注意到表1是示例性的,不是为了限制。也就是说,可以采用任何合适的含硅气体。而且,取决于蚀刻室的配置,这些参数的范围可以改变。
在一个实施方案中,当在过度蚀刻步骤的气体混合物中加入SiCl4或者另一合适的含硅气体时,多晶硅仍被蚀刻,但是,在栅氧化物上出现了保护性沉积。也就是说,可以引入Br或Cl或任何其它蚀刻室中已存在的合适元素的SiOx薄层,沉积在栅氧化物或硝化的栅氧化物上。应该注意的时,为了形成该SiOx层,还提供了氧源。该薄层的氧源来自于含氧气体的引入,或者在容器中存在含氧组分,例如石英、氧化铝、被蚀刻基片的含氧组分等。用于等离子体的氧源的一个例子是氧气。应该注意到,多晶硅和氧化物之间的蚀刻速率选择性变得无穷大,即栅氧化物没有蚀刻,这是因为沉积了含硅氧化物的薄层。结果,这导致栅完整性得到改善,即,任何氧化物损失如果有的话也是最小的、硅凹槽变小并且显著减少了凹坑化的发生率。而且,蚀刻速率选择性的增加使该工艺范围变宽,因为该工艺可能应用在在选择性不改善就会出现凹坑化的区域。
在另一实施方案中,在蚀刻室里可以包括固体硅源,以为钝化层提供硅。也就是说,在其中待蚀硅基本耗尽的过度蚀刻工艺中,固体硅源提供钝化层所需的硅,以防止出现成槽作用。例如,蚀刻室的顶置电极可以包括固体硅源,在过度蚀刻步骤中通过向顶置电极施加射频(RF)偏压就可以激发该硅源。
上面已经描述了本发明的原理、优选实施方案和操作模式。但是,本发明不应被理解为限于所讨论的具体实施方案。因此,应该认为上述实施方案是示例性的,而不是限制性的,应该注意的是本领域技术人员在不偏离随后权利要求所定义的本发明范围下,可以对这些实施方案进行改变。
本发明已经根据各个示例性实施方案进行了描述。考虑到本发明的说明书和实践,对本领域技术人员而言,本发明的其它实施方案是显而易见的。上述实施方案和优选特征应该是示例性的,而本发明由所述权利要求限定。

Claims (12)

1.在等离子体蚀刻室中蚀刻多晶硅栅结构的方法,包括:
限定保护待蚀刻的多晶硅薄膜的图案;
激发等离子体;
基本蚀刻掉所有未保护的多晶硅薄膜;
引入含硅气体;和
在引入含硅气体的同时蚀刻剩余的多晶硅薄膜。
2.权利要求1的方法,其中引入含硅气体的方法操作包括,
以约每分钟0.1标准立方厘米(sccm)-300sccm的流速流动含硅气体。
3.权利要求1的方法,其中含硅气体选自Si2H6、SiH3CH3、SiH(CH3)3、SiF4、SiCl4、SiHCl3、SiH2Cl2、SiBr4和原硅酸四乙酯(TEOS)。
4.权利要求1的方法,其中含硅气体是SiF4和SiCl4之一。
5.权利要求1的方法,其中基本蚀刻掉所有未保护的多晶硅薄膜的方法操作包括
执行第一蚀刻以去除硬掩模;和
执行第二蚀刻以去除未保护的多晶硅薄膜。
6.权利要求1的方法,其中引入含硅气体的同时蚀刻剩余多晶硅薄膜的方法操作包括,
防止在多晶硅栅结构的基部出现成槽作用。
7.权利要求1的方法,其中引入含硅气体的方法操作包括,
终止对未保护的多晶硅薄膜的蚀刻;和
激发过度蚀刻等离子体。
8.权利要求1的方法,还包括:
从多晶硅薄膜蚀刻所产生的副产品形成钝化层。
9.半导体加工系统,包括:
蚀刻室,该蚀刻室包括,
气体进口;
配置成在蚀刻室里激发等离子体的顶置电极;和
用于固定基片的支架;
配置成在蚀刻操作中探测钝化不足情况的控制器,其中响应于所述钝化不足情况,控制器进一步配置成在蚀刻操作中通过气体进口引入钝化促进气体。
10.权利要求9的系统,其中控制器是普通计算机。
11.权利要求9的系统,其中钝化促进气体是含硅气体。
12.权利要求11的系统,其中含硅气体选自Si2H6、SiH3CH3、SiH(CH3)3、SiF4、SiCl4、SiHCl3、SiH2Cl2、SiBr4和原硅酸四乙酯(TEOS)。
CNB2004800118296A 2003-03-03 2004-02-26 在双掺杂栅应用中改进轮廓控制和提高n/p负载的方法 Expired - Fee Related CN100405551C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/376,227 US7098141B1 (en) 2003-03-03 2003-03-03 Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US10/376,227 2003-03-03
US10/607,612 2003-06-27

Publications (2)

Publication Number Publication Date
CN1781185A true CN1781185A (zh) 2006-05-31
CN100405551C CN100405551C (zh) 2008-07-23

Family

ID=32926284

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800118296A Expired - Fee Related CN100405551C (zh) 2003-03-03 2004-02-26 在双掺杂栅应用中改进轮廓控制和提高n/p负载的方法

Country Status (3)

Country Link
US (2) US7098141B1 (zh)
JP (2) JP4791956B2 (zh)
CN (1) CN100405551C (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102194676A (zh) * 2010-03-11 2011-09-21 中芯国际集成电路制造(上海)有限公司 制作半导体器件栅极的方法
CN102280375A (zh) * 2010-06-08 2011-12-14 中国科学院微电子研究所 一种先栅工艺中叠层金属栅结构的制备方法
CN103779203A (zh) * 2012-10-17 2014-05-07 株式会社日立高新技术 等离子蚀刻方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7098141B1 (en) * 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
WO2004079783A2 (en) * 2003-03-03 2004-09-16 Lam Research Corporation Method to improve profile control and n/p loading in dual doped gate applications
US6893938B2 (en) * 2003-04-21 2005-05-17 Infineon Technologies Ag STI formation for vertical and planar transistors
US7060628B2 (en) * 2004-03-19 2006-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a hard mask polysilicon gate
US20050274691A1 (en) * 2004-05-27 2005-12-15 Hyun-Mog Park Etch method to minimize hard mask undercut
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
CN100449693C (zh) * 2004-11-04 2009-01-07 上海华虹(集团)有限公司 一种去除栅刻蚀横向凹槽的方法
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US20060166416A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Addition of ballast hydrocarbon gas to doped polysilicon etch masked by resist
JP2007036018A (ja) * 2005-07-28 2007-02-08 Toshiba Corp 半導体装置の製造方法
US7481943B2 (en) * 2005-08-08 2009-01-27 Silverbrook Research Pty Ltd Method suitable for etching hydrophillic trenches in a substrate
KR100672721B1 (ko) * 2005-12-29 2007-01-22 동부일렉트로닉스 주식회사 플래쉬 메모리의 제조방법
KR100720473B1 (ko) * 2005-12-30 2007-05-22 동부일렉트로닉스 주식회사 반도체 트랜지스터의 제조 방법
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
KR101160930B1 (ko) 2006-07-31 2012-06-29 어플라이드 머티어리얼스, 인코포레이티드 카본-함유 실리콘 에피택셜 층을 형성하는 방법
KR100827538B1 (ko) * 2006-12-28 2008-05-06 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
KR20080086686A (ko) * 2007-03-23 2008-09-26 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP2009147000A (ja) * 2007-12-12 2009-07-02 Seiko Instruments Inc 半導体装置の製造方法
CN101740373B (zh) * 2008-11-14 2011-11-30 中芯国际集成电路制造(北京)有限公司 浅沟槽形成方法
US8404561B2 (en) 2009-05-18 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
CN102427029A (zh) * 2011-08-04 2012-04-25 上海华力微电子有限公司 一种用于栅极相关制程及其后续制程监控的测试器件结构的其制备工艺
JP5932599B2 (ja) * 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
JP6340338B2 (ja) * 2015-03-30 2018-06-06 東京エレクトロン株式会社 薄膜の形成方法
JP6748354B2 (ja) * 2015-09-18 2020-09-02 セントラル硝子株式会社 ドライエッチング方法及びドライエッチング剤
JP7037397B2 (ja) * 2018-03-16 2022-03-16 キオクシア株式会社 基板処理装置、基板処理方法、および半導体装置の製造方法
TWI759754B (zh) * 2020-06-03 2022-04-01 台灣奈米碳素股份有限公司 製作半導體裝置的溝槽結構的乾式蝕刻製程
JP2022172753A (ja) * 2021-05-07 2022-11-17 東京エレクトロン株式会社 基板処理方法および基板処理装置
GB202209674D0 (en) * 2022-07-01 2022-08-17 Spts Technologies Ltd Control of trench profile angle in SiC semiconductors

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4490209B2 (en) 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
US4702795A (en) 1985-05-03 1987-10-27 Texas Instruments Incorporated Trench etch process
US4784720A (en) 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
EP0272143B1 (en) 1986-12-19 1999-03-17 Applied Materials, Inc. Bromine etch process for silicon
US4855015A (en) 1988-04-29 1989-08-08 Texas Instruments Incorporated Dry etch process for selectively etching non-homogeneous material bilayers
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US5707486A (en) 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5094712A (en) 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
JP3210359B2 (ja) * 1991-05-29 2001-09-17 株式会社東芝 ドライエッチング方法
US6518195B1 (en) * 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US6171974B1 (en) 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
KR100242861B1 (ko) 1992-04-27 2000-02-01 이데이 노부유끼 반도체장치의 제조방법
JP3111643B2 (ja) * 1992-06-09 2000-11-27 ソニー株式会社 ドライエッチング方法
JP2746167B2 (ja) * 1995-01-25 1998-04-28 日本電気株式会社 半導体装置の製造方法
JPH08213368A (ja) * 1995-02-08 1996-08-20 Nippon Telegr & Teleph Corp <Ntt> エッチング方法
US5820261A (en) 1995-07-26 1998-10-13 Applied Materials, Inc. Method and apparatus for infrared pyrometer calibration in a rapid thermal processing system
US5705433A (en) * 1995-08-24 1998-01-06 Applied Materials, Inc. Etching silicon-containing materials by use of silicon-containing compounds
US5705409A (en) * 1995-09-28 1998-01-06 Motorola Inc. Method for forming trench transistor structure
US5948283A (en) 1996-06-28 1999-09-07 Lam Research Corporation Method and apparatus for enhancing outcome uniformity of direct-plasma processes
US5753561A (en) 1996-09-30 1998-05-19 Vlsi Technology, Inc. Method for making shallow trench isolation structure having rounded corners
US6033969A (en) 1996-09-30 2000-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a shallow trench isolation that has rounded and protected corners
JP4436463B2 (ja) * 1996-11-06 2010-03-24 シーメンス アクチエンゲゼルシヤフト 3つの独立制御電極を具備したエッチングチャンバ装置
US6309979B1 (en) 1996-12-18 2001-10-30 Lam Research Corporation Methods for reducing plasma-induced charging damage
JPH10189548A (ja) * 1996-12-20 1998-07-21 Sony Corp ドライエッチング方法
US5670397A (en) * 1997-01-16 1997-09-23 Powerchip Semiconductor Corp. Dual poly-gate deep submicron CMOS with buried contact technology
US5674775A (en) 1997-02-20 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation trench with a rounded top edge using an etch buffer layer
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US5807789A (en) * 1997-03-20 1998-09-15 Taiwan Semiconductor Manufacturing, Co., Ltd. Method for forming a shallow trench with tapered profile and round corners for the application of shallow trench isolation (STI)
US5728621A (en) 1997-04-28 1998-03-17 Chartered Semiconductor Manufacturing Pte Ltd Method for shallow trench isolation
US6127278A (en) * 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
TW434802B (en) 1997-10-09 2001-05-16 United Microelectronics Corp Method of manufacturing shallow trench isolation
US5801083A (en) 1997-10-20 1998-09-01 Chartered Semiconductor Manufacturing, Ltd. Use of polymer spacers for the fabrication of shallow trench isolation regions with rounded top corners
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6008131A (en) 1997-12-22 1999-12-28 Taiwan Semiconductor Manufacturing Company Ltd. Bottom rounding in shallow trench etching using a highly isotropic etching step
US6743688B1 (en) * 1998-01-05 2004-06-01 Advanced Micro Devices, Inc. High performance MOSFET with modulated channel gate thickness
US6037265A (en) 1998-02-12 2000-03-14 Applied Materials, Inc. Etchant gas and a method for etching transistor gates
JP2000091321A (ja) * 1998-09-10 2000-03-31 Hitachi Ltd 表面処理方法および装置
JPH11340213A (ja) * 1998-03-12 1999-12-10 Hitachi Ltd 試料の表面加工方法
US6245684B1 (en) 1998-03-13 2001-06-12 Applied Materials, Inc. Method of obtaining a rounded top trench corner for semiconductor trench etch applications
JP3252789B2 (ja) * 1998-04-03 2002-02-04 日本電気株式会社 エッチング方法
US6083815A (en) 1998-04-27 2000-07-04 Taiwan Semiconductor Manufacturing Company Method of gate etching with thin gate oxide
EP1001459B1 (en) 1998-09-09 2011-11-09 Texas Instruments Incorporated Integrated circuit comprising a capacitor and method
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
US6309926B1 (en) 1998-12-04 2001-10-30 Advanced Micro Devices Thin resist with nitride hard mask for gate etch application
US6218309B1 (en) 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6287974B1 (en) 1999-06-30 2001-09-11 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6372151B1 (en) * 1999-07-27 2002-04-16 Applied Materials, Inc. Storage poly process without carbon contamination
US6228727B1 (en) 1999-09-27 2001-05-08 Chartered Semiconductor Manufacturing, Ltd. Method to form shallow trench isolations with rounded corners and reduced trench oxide recess
US6258676B1 (en) 1999-11-01 2001-07-10 Chartered Semiconductor Manufacturing Ltd. Method for forming a shallow trench isolation using HDP silicon oxynitride
US6174786B1 (en) 1999-11-23 2001-01-16 Lucent Technologies, Inc. Shallow trench isolation method providing rounded top trench corners
US6491835B1 (en) 1999-12-20 2002-12-10 Applied Materials, Inc. Metal mask etching of silicon
US6391729B1 (en) 2000-03-09 2002-05-21 Advanced Micro Devices, Inc. Shallow trench isolation formation to eliminate poly stringer with controlled step height and corner rounding
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6303413B1 (en) 2000-05-03 2001-10-16 Maxim Integrated Products, Inc. Method of forming a shallow and deep trench isolation (SDTI) suitable for silicon on insulator (SOI) substrates
US6358859B1 (en) 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
US6313007B1 (en) 2000-06-07 2001-11-06 Agere Systems Guardian Corp. Semiconductor device, trench isolation structure and methods of formations
US6403432B1 (en) 2000-08-15 2002-06-11 Taiwan Semiconductor Manufacturing Company Hardmask for a salicide gate process with trench isolation
US6283131B1 (en) 2000-09-25 2001-09-04 Taiwan Semiconductor Manufacturing Company In-situ strip process for polysilicon etching in deep sub-micron technology
JP2002319571A (ja) * 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
TW518719B (en) * 2001-10-26 2003-01-21 Promos Technologies Inc Manufacturing method of contact plug
US6703269B2 (en) * 2002-04-02 2004-03-09 International Business Machines Corporation Method to form gate conductor structures of dual doped polysilicon
US6784077B1 (en) * 2002-10-15 2004-08-31 Taiwan Semiconductor Manufacturing Co. Ltd. Shallow trench isolation process
US7098141B1 (en) * 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102194676A (zh) * 2010-03-11 2011-09-21 中芯国际集成电路制造(上海)有限公司 制作半导体器件栅极的方法
CN102280375A (zh) * 2010-06-08 2011-12-14 中国科学院微电子研究所 一种先栅工艺中叠层金属栅结构的制备方法
CN102280375B (zh) * 2010-06-08 2013-10-16 中国科学院微电子研究所 一种先栅工艺中叠层金属栅结构的制备方法
US8598002B2 (en) 2010-06-08 2013-12-03 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing metal gate stack structure in gate-first process
CN103779203A (zh) * 2012-10-17 2014-05-07 株式会社日立高新技术 等离子蚀刻方法
CN103779203B (zh) * 2012-10-17 2016-11-02 株式会社日立高新技术 等离子蚀刻方法

Also Published As

Publication number Publication date
JP4791956B2 (ja) 2011-10-12
CN100405551C (zh) 2008-07-23
US20040175950A1 (en) 2004-09-09
US7186661B2 (en) 2007-03-06
JP2006523381A (ja) 2006-10-12
JP2011211225A (ja) 2011-10-20
US7098141B1 (en) 2006-08-29

Similar Documents

Publication Publication Date Title
CN100405551C (zh) 在双掺杂栅应用中改进轮廓控制和提高n/p负载的方法
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
US7361607B2 (en) Method for multi-layer resist plasma etch
US6380095B1 (en) Silicon trench etch using silicon-containing precursors to reduce or avoid mask erosion
US8658541B2 (en) Method of controlling trench microloading using plasma pulsing
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
US7682980B2 (en) Method to improve profile control and N/P loading in dual doped gate applications
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
CN101038875A (zh) 在介电层中蚀刻开口的方法
US20050218373A1 (en) Etching methods
JP2006501634A (ja) 基板をエッチングするための方法及び装置
US5759921A (en) Integrated circuit device fabrication by plasma etching
US6955964B2 (en) Formation of a double gate structure
US6372634B1 (en) Plasma etch chemistry and method of improving etch control
US5837615A (en) Integrated circuit device fabrication by plasma etching
CN117334575A (zh) 对碳化硅半导体衬底进行等离子蚀刻的方法和设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080723

Termination date: 20150226

EXPY Termination of patent right or utility model