JP3000717B2 - ドライエッチング方法 - Google Patents

ドライエッチング方法

Info

Publication number
JP3000717B2
JP3000717B2 JP3123029A JP12302991A JP3000717B2 JP 3000717 B2 JP3000717 B2 JP 3000717B2 JP 3123029 A JP3123029 A JP 3123029A JP 12302991 A JP12302991 A JP 12302991A JP 3000717 B2 JP3000717 B2 JP 3000717B2
Authority
JP
Japan
Prior art keywords
etching
ecr
wafer
gas
material layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP3123029A
Other languages
English (en)
Other versions
JPH04326726A (ja
Inventor
哲也 辰巳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP3123029A priority Critical patent/JP3000717B2/ja
Priority to KR1019920006172A priority patent/KR100225552B1/ko
Priority to US07/874,114 priority patent/US5266154A/en
Publication of JPH04326726A publication Critical patent/JPH04326726A/ja
Application granted granted Critical
Publication of JP3000717B2 publication Critical patent/JP3000717B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32678Electron cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体装置の製造分野等
において適用されるドライエッチング方法に関し、特に
オーバーエッチング時の過剰ラジカルの影響による対下
地選択性と異方性の劣化を防止する方法に関する。
【0002】
【従来の技術】近年のVLSI,ULSI等にみられる
ように半導体装置のデザイン・ルールが高度に微細化さ
れるに伴い、ドライエッチングの分野においても対下地
選択性の高い条件で被エッチング材料層をエッチングす
ることが極めて重要な技術となっている。たとえば、M
OS−FETのゲート電極加工においては、酸化シリコ
ン(SiO2 )からなる薄いゲート絶縁膜に対して高選
択比がとれる条件で多結晶シリコン層やポリサイド膜等
のシリコン(Si)系材料層をエッチングすることが必
要となる。また、半導体基板内に形成された不純物拡散
領域や、SRAMの抵抗負荷素子として用いられるPM
OSトランジスタのソース・ドレイン領域等にコンタク
トを形成しようとする場合等には、シリコン基板や多結
晶シリコン層等のSi系材料層に対して高選択比がとれ
る条件でSiO2 層間絶縁膜をエッチングすることが必
要となる。しかし、ドライエッチングにおいて対下地選
択性,異方性,エッチング速度,低汚染性,低ダメージ
性等の諸条件は相互にトレード・オフの関係にあるた
め、実際のプロセスではこれらの条件を実用レベルで許
容できる範囲に適宜調整しながらエッチングを行ってい
るのが実情である。
【0003】従来、単結晶シリコン,多結晶シリコン,
高融点金属シリサイド,ポリサイド等のSi系材料層の
エッチングには、フロン113(C2 Cl3 3 )等に
代表されるフロン(クロロフルオロカーボン)系ガス、
あるいは高速化を図るためにこれにSF6 等を添加した
混合ガス等がエッチング・ガスとして広く用いられてき
た。フロン系ガスは1分子内にFとClとを構成元素と
して有するため、ラジカル反応とイオン・アシスト反応
の両方の寄与によるエッチングが可能であり、かつ気相
中から堆積する炭素系ポリマーで側壁保護を行いながら
高異方性を達成することができるからである。
【0004】一方、SiO2 系材料層のエッチング・ガ
スとしては、CHF3 ガス、CF4/H2 混合ガス、C2
6 /CHF3 混合ガス、C3 8等が代表的なもので
ある。これらのガス系に共通する作用は、(a)ガス系
に含まれるCがSiO2 層の表面でC−Oを結合を生成
し、Si−O結合を切断したり弱めたりする働きがあ
る、(b)SiO2 のエッチング種であるCFx + を生
成する、さらに(c)プラズマ中で相対的に炭素に富む
状態が作り出されるので、SiO2 中の酸素がCOまた
はCO2 の形で除去される一方、Si上では炭素系ポリ
マーが堆積してエッチング速度が低下し、Siに対する
高選択比が得られること等である。
【0005】しかしながら、Si系材料層の代表的なエ
ッチング・ガスであるフロン系ガスは、周知のように地
球のオゾン層破壊の元凶であることが指摘されており、
近い将来に製造および使用が禁止される運びである。し
たがって、ドライエッチングの分野においても、これら
の堆積性カーボン系ガスの代替品となり得るエッチング
ガス、およびその使用技術の開発が急務とされている。
また、異方性を達成するために堆積性カーボン系ガスを
使用するプロセスでは、半導体装置のデザイン・ルール
が今後さらに微細化されると、気相中から堆積する炭素
ポリマーがパーティクル汚染源となることも考えられ
る。たとえば、フロン113/SF6 混合ガスによるS
i系材料層のエッチングでは、過剰ラジカルによる異方
性形状の劣化や対下地選択性の低下を防ぐためにオーバ
ーエッチング時にフロン113の流量比を高めることが
行われてきた。しかし、このように堆積性ガスの使用量
をプロセスの途中で増やすことは、パーティクル汚染の
増大を招く。また、ガスの組成をプロセスの途中で変更
すると、放電条件の安定化に時間を要し、制御性やスル
ープットを低下させる原因ともなる。
【0006】本願出願人は、かかる問題を解決するため
の種々の技術をこれまでに提案しているが、これらの技
術には大別すると、堆積性カーボン系ガスを使用せず炭
素系ポリマー以外の側壁保護物質を使用するアプローチ
と、炭素系ポリマーの生成効率を高めて堆積性カーボン
系ガスの使用量を極力減らすアプローチとがある。
【0007】前者の炭素系ポリマー以外の側壁保護物質
を使用するアプローチとしては、イオウ(S)の堆積に
より側壁保護を行う一連の技術を数多く提案している。
このSの堆積は、1分子中のハロゲン(X)原子数とS
原子数との比、すなわちX/S比が比較的小さいハロゲ
ン化イオウを主体とするエッチング・ガスを使用するこ
とにより可能となる。たとえば、特願平2−19804
5号明細書には、かかるハロゲン化イオウとしてS2
2 ,SF2 ,SF4 ,S2 10を開示した。これらのフ
ッ化イオウは、同じくフッ化イオウでも従来から最も良
く知られているSF6 とは異なり、放電解離により気相
中にSを生成することができる。このSは、基板が低温
冷却されていればその表面へ堆積し、側壁保護効果を発
揮する。しかも、堆積したSはエッチング終了後に基板
を加熱すれば容易に昇華除去できるため、パーティクル
汚染を惹起させる虞れもない。本願出願人は、これらフ
ッ化イオウからのF* (フッ素ラジカル)生成量がSF
6 と比べて少なく、しかもSFx + によるイオン・アシ
スト反応が期待できる点に着目し、これを酸化シリコン
系材料層のエッチングに適用してシリコン下地に対する
高選択性を達成した。
【0008】このように、ハロゲン化イオウとして最初
に提案された化合物はF/S比の比較的小さいフッ化イ
オウであり、それはSiO2 系材料層のエッチングを目
的としていた。本願出願人はその後、ハロゲン化イオウ
をSi系材料層のエッチングに適用する技術も種々提案
している。たとえば、特願平2−199249号明細書
では、被エッチング基板を0℃以下に冷却した状態でS
2 Cl2 等の塩化イオウもしくはS2 Br2 等の臭化イ
オウを含むガスを使用してSi系材料を低温エッチング
する技術を開示している。これは、反応性の高いF*
発生し得ないガスを使用することにより、ラジカルの影
響を低減し、より有利に高異方性を達成しようとしたも
のである。
【0009】さらに、エッチング・ガス系にラジカルを
消費し得るようなHやSiを共存させることにより、フ
ッ化イオウをSi系材料層のエッチングにも適用可能と
した技術もある。たとえば、特願平3−20360号明
細書には、S2 2 等のフッ化イオウやS2 Cl2 等の
塩化イオウにH2 ,H2 S,シラン等のガスを添加し、
過剰なF* ,Cl* 等のラジカルをHF,HCl,Si
x ,SiClx 等の形で系外へ除去する技術を提案し
ている。
【0010】また、後者の堆積性カーボン系ガスの使用
量を極力減らすアプローチとしては、炭素性ポリマーの
生成効率に優れる高次フルオロカーボン系ガスを使用し
てSiO2 系材料層をエッチングする技術を提案してい
る。たとえば、特願平2−295225号明細書では鎖
状の高次不飽和フルオロカーボン系ガスを、また特願平
3−40996号明細書では環状の飽和または不飽和フ
ルオロカーボン系ガスを提案している。これらのフルオ
ロカーボン系ガスは、1分子から2個以上のCFx +
生成して高速エッチングに寄与する他、プラズマ中にお
ける炭素骨格の切断により重合に有利な化学種を生成す
るので効率良い炭素系ポリマーの生成を可能とするので
ある。
【0011】
【発明が解決しようとする課題】上述のように、本願出
願人がこれまでに提案した各種の技術によれば、クリー
ンなエッチングを実現する観点からは従来技術に比べて
格段に優れた成果が得られた。しかし、オーバーエッチ
ング時において充分に高い対下地選択性を向上させるた
めには、より一層の工夫が必要であることが判明してき
た。たとえば、上述のS2 2 をSi系材料層のエッチ
ング・ガスとしてゲート電極加工を行おうとする場合、
極めて反応性に富むF* が主エッチング種となっている
ので、SiO2 からなるゲート絶縁膜に対してオーバー
エッチング時にも高選択性を維持することは困難であ
る。これは、原子間結合エネルギーの値がSi−O結合
では111kcal/molであるのに対し、Si−F
結合では132kcal/molと大きいことからも理
解される。
【0012】Si系材料層を下地としてSiO2 系材料
層のエッチングを行う場合には、対下地選択性の確保は
より逼迫した問題となる。それは、Si−Si結合の原
子間結合エネルギーの値が54kcal/molであ
り、Si−F結合やSi−Cl結合(96kcal/m
ol)のそれより遙かに小さいからである。つまり、S
i系材料層は、イオン照射が無くても自発的にエッチン
グされてしまうほど、F* やCl* の攻撃を受け易いの
である。
【0013】また、オーバーエッチング時の過剰なラジ
カルは、対下地選択性の低下のみならず、パターンの異
方性形状を劣化させる原因となる。オーバーエッチング
時には被エッチング材料層の面積が大幅に減少するの
で、結合の相手を失ったラジカルが被エッチング基板
(ウェハ)の表面で側方マイグレーションを起こし、形
成されたパターンの側壁部を攻撃して異方性形状を劣化
させる。特に、ポリサイド膜のようにエッチング特性の
異なる2種類の材料層が積層されている場合、下層側の
多結晶シリコン層のエッチング速度が上層側の高融点金
属シリサイド層のそれに比べて速いために、アンダカッ
ト等の形状異常が発生し易い。そこで本発明は、ラジカ
ルが相対的に過剰となるオーバーエッチング時にも対下
地選択性および異方性の劣化を効果的に防止し、しかも
これをエッチング・ガスの組成を変更するなく実現する
ドライエッチング方法を提供することを目的とする。
【0014】
【課題を解決するための手段】本発明のドライエッチン
グ方法は、上述の目的を達成するために提案されるもの
であり、ECRポジションの下流側において処理チャン
バの内壁部の少なくとも一部がSi系材料層により被覆
されてなり、かつ該ECRポジションと被エッチング基
板間の距離を可変となし得るECRプラズマ装置を使用
し、前記ECRポジションに相対的に近い位置に前記被
エッチング基板を保持しながら被エッチング材料層のエ
ッチングを行った後、前記ECRポジションよりも相対
的に遠い位置に前記被エッチング基板を保持しながらオ
ーバーエッチングを行うことを特徴とするものである。
【0015】
【作用】本発明者は、エッチング・ガスの組成を変更す
ることなくオーバーエッチング時のラジカルの影響を低
減させるためには、プラズマ・エッチング装置の選択、
構成、およびその使用方法に工夫を要するとの認識に立
って検討を進めた。本発明はその際の知見にもとづいて
おり、プラズマ・エッチング装置としては、ECRポジ
ションとウェハとの位置関係によりラジカルの影響を低
減できるECRプラズマ装置を選択し、ECRプラズマ
の下流側において処理チャンバの内壁部の一部に過剰な
ラジカルを消費し得るSi系材料層を設けるという構成
上の工夫を行い、さらに被エッチング基板(ウェハ)の
昇降によりECRプラズマと上記Si系材料層との接触
面積を変化させることをポイントとする。
【0016】ECRプラズマ装置は、磁界中でローレン
ツの力により円運動をしている電子のサイクロトロン角
周波数ωc がマイクロ波電界の角周波数ωと一致した時
(ECR条件の成立)に、電子が共鳴的にマイクロ波エ
ネルギーを吸収して生成するプラズマを各種の処理に利
用する装置である。サイクロトロン角周波数ωc は式ω
c =eB/m(ただし、eは電子の電荷、mは電子の質
量、Bは磁束密度を表す。)で与えられ、2.45GH
zのマイクロ波を導入した場合には875Gaussの
磁束密度が得られる点においてECR条件が成立する。
このECR条件が成立する点はECRポジションと呼ば
れており、通常のECRプラズマ装置中では点状のごく
限られた領域である。ECRポジションでは、ECRプ
ラズマ中で最もイオン電流密度が高くなっており、また
イオンの方向性も揃っている。
【0017】ECRポジションの形成される位置は装置
構成,マイクロ波パワー、磁界を発生させるソレノイド
・コイルの位置、磁束密度等により一義的に決まってお
り、またその周囲に広がるECRプラズマの高密度領域
も上述の要素によりほぼ決定される。ECRプラズマの
広がりはウェハによってもある程度制限されるが、EC
Rポジションとウェハの距離が離れることにより伸びる
部分は主として低密度のアフタ・グロー領域である。し
たがって、ECRプラズマ中では、ウェハがECRポジ
ションに近づくほど高密度のラジカルや方向性の揃った
イオンにより高速な異方性加工が可能となる。逆に、ウ
ェハがECRポジションから遠ざかれば、発散磁界によ
り散乱されてイオンの方向性は低下する。またイオンに
比べて寿命の短いラジカルはウェハに到達するまでに消
失し易くなるので、ラジカルの影響が低減される。
【0018】以上はECRプラズマの一般的な特性であ
るが、本発明ではウェハがECRポジションから遠ざか
った際のラジカルの影響を一層効果的に低減させるため
に、ECRポジションの下流側にラジカルを消費できる
Si系材料層を設けた。さらに、上記ECRプラズマ装
置には、ECRポジションとウェハとの距離を可変とな
し得る機構を設けた。上記Si系材料層は、対下地選択
性の低下の原因となるラジカルを捕捉して蒸気圧の高い
反応生成物を生成し、これをエッチング反応系外へ除去
することができる。たとえば、F* はSiFx 、Cl*
はSiClx ,H* はSiHx (いずれもxは1〜4の
整数を表す。)等の形でそれぞれ除去される。
【0019】かかる構成において、本発明ではまずウェ
ハをECRポジションに近づけ、高密度のラジカルと方
向性の揃ったイオンにより被エッチング材料層を高速か
つ異方的にエッチングする。ここで、ECRプラズマの
下端はウェハ位置により制限されるので、ウェハが充分
にECRポジションに近く保持されていれば、ECRプ
ラズマは処理チャンバの側壁部に設けられたSi系材料
層とほとんど接触することがない。したがって、ラジカ
ルがSi系材料層により消費される割合は少ない。一
方、オーバーエッチング時にはラジカルの影響を極力低
減させたいので、ウェハをECRポジションから遠ざけ
る。これにより、寿命の短いラジカルのウェハへの到達
量を減少できることはもちろんであるが、ECRプラズ
マのアフタ・グロー領域がウェハ側へ伸びることにより
該ECRプラズマと上記シリコン系材料層との接触面積
が増大し、ラジカルが消費される割合が増大する。した
がって、対下地選択性を向上させることができる。
【0020】
【実施例】以下、本発明の具体的な実施例について説明
する。ここで、実際のエッチング・プロセスの説明に先
立ち、まず本発明を実施するにあたり使用したRFバイ
アス印加型の有磁場マイクロ波プラズマ・エッチング装
置(以下、ECRエッチング装置と称する。)の一構成
例、およびその使用上の工夫について図1(a)および
(b)を参照しながら説明する。この装置は、2.45
GHzのマイクロ波を発生するマグネトロン1、マイク
ロ波を導く矩形導波管2および円形導波管3、上記マイ
クロ波を利用してECR放電により内部でECRプラズ
マPを生成させるための石英製のベルジャー4、上記円
形導波管3と上記ベルジャー4を周回するように配設さ
れ875Gaussの磁束密度を達成できるソレノイド
・コイル5、エッチング・ガスを矢印B方向から上記ベ
ルジャー4へ供給するガス導入管6、ウェハ7を載置す
るウェハ載置電極8、該ウェハ載置電極8にRFバイア
スを印加するためのRF電源10等から構成されてい
る。上記ベルジャー4の内部は、図示されない真空系統
により排気孔13を介して高真空排気されている。
【0021】以上がECRエッチング装置の一般的な構
成要素であるが、本発明で使用する装置においては以下
の工夫が加えられている。まず、上記ベルジャー4の内
壁部のうちガス導入管6より下側の部位にはSi系材料
層12が設けられている。ただし、このSi系材料層1
2の配設部位はECRポジション11の少なくとも下流
側であれば図示される例に限られるものではなく、場合
によってはECRポジション11を含んでいても良い。
また、上記Si系材料層12はベルジャー4の内壁部に
おいて高さ方向の一部を連続的に周回している必要はな
く、たとえばブロック状やウェハ状の固体を内壁部に貼
り付けた構成を有するものであっても良い。上記Si系
材料層12の具体的な配設方法としては、ベルジャー4
の内壁部にCVD法等によりアモルファス・シリコン層
や多結晶シリコン層を直接に成膜すること、シリコン・
カーバイド材を適当な形状に加工して貼り付けること、
単結晶シリコンからなるダミー・ウェハを貼り付けるこ
と等が考えられる。後述の各実施例では、単結晶シリコ
ンのダミー・ウェハを使用した。
【0022】また、上記ウェハ載置電極8は図示されな
い昇降手段に接続され、図中矢印D1 方向に上昇もしく
は矢印D2 方向に下降するようになされている。この昇
降により、ECRプラズマP中のECRポジション11
とウェハ7との距離を変化させることができる。さら
に、上記ウェハ載置電極8には、低温エッチングを可能
とするために冷却配管9が埋設されている。この冷却配
管9には、装置外部に配設される図示されないチラー等
の冷却設備から冷媒が導入され、図中矢印C1 ,C2
向に循環されるようになされている。
【0023】本発明における上記ECRエッチング装置
の使用方法は、以下のとおりである。まず、被エッチン
グ材料層をほぼその層厚分だけエッチングする(以下、
ジャスト・エッチングと称する。)までの過程では、図
1(a)に示されるように上記ウェハ載置電極8を矢印
1 方向に上昇させてウェハ7をECRポジション11
に近接した状態に保持し、上記ガス導入管6からエッチ
ング・ガスを上記ベルジャー4内へ供給してマイクロ波
放電を行わせる。このとき、ECRポジション11より
も下側のECRプラズマPの広がりは、ウェハ7および
ウェハ載置電極8により制限されるので、ウェハ7は比
較的高い密度のラジカルと方向性の揃ったイオンに曝さ
れる。したがって、異方性エッチングが高速に進行す
る。
【0024】一方、オーバーエッチングを行う際には、
図1(b)に示されるように、ウェハ載置電極8を矢印
2 方向に下降させてウェハ7をECRポジション11
から遠隔させた状態に保持する。このとき、ECRポジ
ション11の空間位置は不変であるが、ECRプラズマ
Pのアフタ・グロー領域は下降したウェハ7の表面付近
まで伸びてくる。しかし、この領域はラジカル密度が低
く、また発散磁界の作用によりイオンの方向性も低下し
ている。しかも、ウェハ7が下降した状態ではECRプ
ラズマPの一部が上記Si系材料層12と接触し、ラジ
カルの一部はSiに捕捉されて蒸気圧の高いSi化合物
に変化し、排気孔13を介してエッチング反応系外へ除
去される。したがって、過剰ラジカルによる下地のエッ
チングが防止される。以下、上述のECRエッチング装
置を使用した実際のプロセス例について説明する。
【0025】実施例1 本実施例は、本発明をゲート加工に適用し、S2 2
エッチング・ガスとして供給しながら多結晶シリコン層
のエッチングおよびオーバーエッチングを行った例であ
る。まず、単結晶シリコン基板上にSiO2 からなるゲ
ート絶縁膜を介してn+ 型不純物を含有する多結晶シリ
コン層が形成され、さらに所定の形状にパターニングさ
れたレジスト・マスクが形成されてなるウェハ7を用意
した。このウェハ7をウェハ載置電極8上にセットし、
図1(a)に示されるようにECRポジション11に近
接した位置に保持した。また、冷却配管9にはチラーか
らエタノール冷媒を供給し、ウェハ7の温度を0℃に維
持した。この状態で、S2 2 流量5SCCM,ガス圧
1.3Pa(10mTorr),マイクロ波パワー85
0W,RFバイアス・パワー50W(2MHz)の条件
で多結晶シリコン層のエッチングをジャスト・エッチン
グ状態まで行った。
【0026】ここで使用されたS2 2 は、本願出願人
が先に特願平2−198045号明細書においてSiO
2 系材料層のエッチング・ガスとして初めて提案した4
種類のフッ化イオウのうちのひとつである。S2 2
ら放電解離により生成するF* は多結晶シリコン層のエ
ッチングに寄与し、同じく気相中に生成するSはパター
ン側壁部に堆積して側壁保護効果を発揮した。さらに、
2 2 からはS+ ,SFx + ,F+ 等のイオンも生成
し、これらがECRポジション11に近接配置されたウ
ェハ7にほぼ垂直に入射してラジカル反応をアシスト
し、高速な異方性エッチングが行われた。この結果、異
方性形状に優れるゲート電極がほぼ形成された。
【0027】次に、図1(b)に示されるように、ウェ
ハ7を下降させてECRポジション11から遠隔した位
置に保持した。この状態で、RFバイアス・パワーを1
0Wに低下させた他は上述と同じ条件にてオーバーエッ
チングを行った。ここでは、S2 2 の供給条件を特に
変更しておらず、また多結晶シリコン層の被エッチング
面積が減少しているために、F* ラジカルは大過剰とな
っている。しかし、ウェハ7側へ向かって伸びたECR
プラズマPのアフタ・グロー領域がベルジャー4の側壁
部においてSi系材料層12(ここではダミーのSiウ
ェハ)と接触するため、F* はSiFx の形でエッチン
グ反応系外へ除去された。この結果、エッチング系内の
見掛け上のF/S比が減少して相対的にSの堆積が起こ
り易い条件が整い、ゲート絶縁膜に対して約20の選択
比を維持しながら、多結晶シリコン層のオーバーエッチ
ングを行うことができた。
【0028】また、上述のようにウェハ7がECRポジ
ション11から離れて保持されている状態では、高エネ
ルギー電子のサイクロトロン共鳴円運動により反磁性効
果が現れ、発散磁界との相互作用により弱磁界方向にイ
オンが加速される。しかし、ここではRFバイアス・パ
ワーが10Wに低減されているので、イオン入射エネル
ギーはウェハ7がECRポジション11に近接配置され
ている場合と比べて低減された。これにより、低ダメー
ジ性も達成された。コン層のオーバーエッチングを行う
ことができた。なお、パターン側壁部に堆積したSは、
オーバーエッチング終了後にウェハ7を約90℃に加熱
することにより容易に昇華除去され、何らパーティクル
汚染を惹起させることはなかった。
【0029】ところで、本実施例ではエッチング・ガス
としてS2 2 を使用したが、他にSF2 ,SF4 ,S
2 10等のフッ化イオウを使用しても良く、さらにS3
Cl2 ,S2 Cl2 ,SCl2 等の塩化イオウを使用し
ても良い。また、エッチングの初めの段階からラジカル
生成量を低減させるために、エッチング・ガスにF*
捕捉し得るH2 ,H2 S,シラン系ガス等を添加しても
良い。さらにあるいは、スパッタリング効果,希釈効
果,冷却効果等を期待する意味で、He,Ar等の希ガ
スを適宜添加しても良い。
【0030】実施例2 本実施例は、本発明をコンタクト・ホールの形成に適用
した例であり、C48 (オクタフルオロシクロブタ
ン,別名フロンC318)をエッチング・ガスとして供
給しながらSiO2 層間絶縁膜のエッチングおよびオー
バーエッチングを行った例である。本実施例で使用する
ECRエッチング装置は、図1に示されるものと同様で
ある。ただし、図中に記入されているECRプラズマP
中の化学種のうち、S+ ,SFx + は本実施例では生成
せず、代わりにCFx + が生成する。本実施例でエッチ
ング・サンプルとして使用したウェハ7は、単結晶シリ
コン基板上にSiO2 からなる層間絶縁膜が形成されて
なるものである。このウェハ7をウェハ載置電極8上に
セットし、図1(a)に示されるようにECRポジショ
ン11に近接した位置に保持し、冷却配管9にエタノー
ル冷媒を循環させることにより該ウェハ7を0℃に保持
した。この状態で、C4 8 流量50SCCM,ガス圧
1.3Pa(10mTorr),マイクロ波パワー85
0W,RFバイアス・パワー50W(2MHz)の条件
で層間絶縁膜のエッチングをジャスト・エッチング状態
まで行った。
【0031】ここで使用されたC4 8 は、本願出願人
が先に特願平3−40996号明細書において提案した
飽和環状フルオロカーボン系ガスのひとつである。C4
8 は1分子から2個以上のCFx + を生成して高速エ
ッチングに寄与する他、プラズマ中における炭素骨格の
切断により重合に有利な化学種を生成するので効率良く
炭素系ポリマーを堆積させることができる。この炭素系
ポリマーがパターン側壁部に堆積して側壁保護膜を形成
することにより、ガス系に堆積性カーボン系ガスが添加
されていないにもかかわらず、良好な異方性形状を有す
るコンタクト・ホールがほぼ形成された。また、上記炭
素系ポリマーはレジスト・マスクの表面にも堆積して対
レジスト選択比の向上にも寄与した。
【0032】次に、図1(b)に示されるように、ウェ
ハ7を下降させてECRポジション11から遠隔した位
置に保持し、上述と同じ条件にてオーバーエッチングを
行った。ここでは、ECRプラズマPとSi系材料層1
2との接触面積が増大し、過剰のF* はSiFx の形で
エッチング反応系外へ除去された。この結果、エッチン
グ系内の見掛け上のF/C比が減少して相対的に炭素系
ポリマーの堆積が起こり易い条件が整い、単結晶シリコ
ン基板に対して約15の選択比を維持しながら、層間絶
縁膜のオーバーエッチングを行うことができた。
【0033】ところで、本実施例ではエッチング・ガス
として環状化合物であるC4 8 を使用したが、同じ組
成式で表される直鎖状のオクタフルオロブテンを使用し
てもほぼ同様の結果が得られる。さらに、本願出願人が
これまでに一連の出願により提案しているごとく、飽和
環状,不飽和環状,飽和鎖状,不飽和鎖状等の構造を有
する各種の高次フルオロカーボン系化合物を使用しても
良い。
【0034】
【発明の効果】以上の説明からも明らかなように、本発
明ではECRプラズマ装置の処理チャンバ内に設けられ
たSi系材料層とECRプラズマとの接触面積をウェハ
の昇降により変化させるという巧妙な手法により、オー
バーエッチング時に過剰となるラジカルの影響を効果的
に低減させることができる。したがって、極めて高い対
下地選択性と異方性とが達成される。しかも、本発明で
はジャスト・エッチングまでの工程とオーバーエッチン
グ工程との間でエッチング・ガスの組成を変更しないの
で、安定したマイクロ波放電を継続させたまま、スルー
プットを低下させずに再現性の高い異方性エッチングを
行うことが可能となる。本発明は微細なデザイン・ルー
ルにもとづいて設計され、高集積度および高性能を有す
る半導体装置の製造において特に有効である。
【図面の簡単な説明】
【図1】本発明のドライエッチング方法を実施するにあ
たり使用されるECRエッチング装置の一構成例および
その使用例を示す概略断面図であり、(a)はジャスト
・エッチングまでの使用状態、(b)はオーバーエッチ
ング時の使用状態をそれぞれ表す。
【符号の説明】
4 ・・・ベルジャー 7 ・・・ウェハ 8 ・・・ウェハ載置電極 9 ・・・冷却配管 11・・・ECRポジション 12・・・Si系材料層 P ・・・ECRプラズマ

Claims (1)

    (57)【特許請求の範囲】
  1. 【請求項1】 ECRポジションの下流側において処理
    チャンバの内壁部の少なくとも一部がシリコン系材料層
    により被覆されてなり、かつ該ECRポジションと被エ
    ッチング基板間の距離を可変となし得るECRプラズマ
    装置を使用し、前記ECRポジションに相対的に近い位
    置に前記被エッチング基板を保持しながら被エッチング
    材料層のエッチングを行った後、前記ECRポジション
    よりも相対的に遠い位置に前記被エッチング基板を保持
    しなからオーバーエッチングを行うことを特徴とするド
    ライエッチング方法。
JP3123029A 1991-04-26 1991-04-26 ドライエッチング方法 Expired - Lifetime JP3000717B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP3123029A JP3000717B2 (ja) 1991-04-26 1991-04-26 ドライエッチング方法
KR1019920006172A KR100225552B1 (ko) 1991-04-26 1992-04-14 드라이에칭방법
US07/874,114 US5266154A (en) 1991-04-26 1992-04-27 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3123029A JP3000717B2 (ja) 1991-04-26 1991-04-26 ドライエッチング方法

Publications (2)

Publication Number Publication Date
JPH04326726A JPH04326726A (ja) 1992-11-16
JP3000717B2 true JP3000717B2 (ja) 2000-01-17

Family

ID=14850458

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3123029A Expired - Lifetime JP3000717B2 (ja) 1991-04-26 1991-04-26 ドライエッチング方法

Country Status (3)

Country Link
US (1) US5266154A (ja)
JP (1) JP3000717B2 (ja)
KR (1) KR100225552B1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6207789B1 (en) 1997-06-03 2001-03-27 Hitachi Chemical Co., Ltd. Phenolic resin, resin composition, molding material for encapsulation, and electronic component device

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US6171974B1 (en) 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
JPH05251408A (ja) * 1992-03-06 1993-09-28 Ebara Corp 半導体ウェーハのエッチング装置
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
JP3318801B2 (ja) * 1993-12-29 2002-08-26 ソニー株式会社 ドライエッチング方法
JP3199957B2 (ja) * 1994-06-20 2001-08-20 株式会社日立製作所 マイクロ波プラズマ処理方法
US5667630A (en) * 1995-04-28 1997-09-16 Vanguard International Semiconductor Corporation Low charge-up reactive ion metal etch process
US6040619A (en) * 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
US6139647A (en) * 1995-12-21 2000-10-31 International Business Machines Corporation Selective removal of vertical portions of a film
US5767017A (en) * 1995-12-21 1998-06-16 International Business Machines Corporation Selective removal of vertical portions of a film
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
US5965463A (en) * 1997-07-03 1999-10-12 Applied Materials, Inc. Silane etching process
JPH1167738A (ja) * 1997-08-18 1999-03-09 Oki Electric Ind Co Ltd アッシング方法および装置
US5866303A (en) * 1997-10-15 1999-02-02 Kabushiki Kaisha Toshiba Resist developing method by magnetic field controlling, resist developing apparatus and method of fabricating semiconductor device
US6403488B1 (en) * 1998-03-19 2002-06-11 Cypress Semiconductor Corp. Selective SAC etch process
US6547934B2 (en) 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6297147B1 (en) 1998-06-05 2001-10-02 Applied Materials, Inc. Plasma treatment for ex-situ contact fill
US7053002B2 (en) * 1998-12-04 2006-05-30 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
US6372634B1 (en) 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US7931820B2 (en) * 2000-09-07 2011-04-26 Daikin Industries, Ltd. Dry etching gas and method for dry etching
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
KR100978407B1 (ko) * 2006-03-06 2010-08-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
JPS5994422A (ja) * 1982-11-19 1984-05-31 Nec Kyushu Ltd プラズマエツチング装置
JPH0622217B2 (ja) * 1984-05-16 1994-03-23 株式会社日立製作所 表面処理装置及び表面処理方法
JPS6428823A (en) * 1987-07-24 1989-01-31 Hitachi Ltd Plasma processor
JPS6481321A (en) * 1987-09-24 1989-03-27 Hitachi Ltd Plasma treatment device
JPH025413A (ja) * 1988-06-24 1990-01-10 Hitachi Ltd プラズマ処理装置
JP2993675B2 (ja) * 1989-02-08 1999-12-20 株式会社日立製作所 プラズマ処理方法及びその装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6207789B1 (en) 1997-06-03 2001-03-27 Hitachi Chemical Co., Ltd. Phenolic resin, resin composition, molding material for encapsulation, and electronic component device

Also Published As

Publication number Publication date
US5266154A (en) 1993-11-30
JPH04326726A (ja) 1992-11-16
KR100225552B1 (ko) 1999-10-15

Similar Documents

Publication Publication Date Title
JP3000717B2 (ja) ドライエッチング方法
US6337277B1 (en) Clean chemistry low-k organic polymer etch
JP3248222B2 (ja) ドライエッチング方法
JP3116569B2 (ja) ドライエッチング方法
US5354421A (en) Dry etching method
JP3044824B2 (ja) ドライエッチング装置及びドライエッチング方法
EP0555858B1 (en) Method of dry etching a polycide without using a CFC gas
US5211790A (en) Dry etching method by sulfur conditioning
JP3006048B2 (ja) ドライエッチング方法
JP3160961B2 (ja) ドライエッチング方法
JP3094470B2 (ja) ドライエッチング方法
JPH10189537A (ja) ドライエッチング方法
JP3208596B2 (ja) ドライエッチング方法
JP3038984B2 (ja) ドライエッチング方法
JPH0817804A (ja) プラズマエッチング方法
JPH053178A (ja) 半導体装置の製造方法
JP2684868B2 (ja) ドライエッチング方法
JPH05343366A (ja) ドライエッチング方法
JPH053177A (ja) ドライエツチング方法
JP4990551B2 (ja) ドライエッチング方法
JP3116421B2 (ja) ドライエッチング方法
JP3123199B2 (ja) ドライエッチング方法
JPH0536645A (ja) ドライエツチング方法
JPH05102091A (ja) ドライエツチング方法
TW202141622A (zh) 基片的低溫蝕刻方法及其裝置

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19991012

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071112

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081112

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091112

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091112

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101112

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111112

Year of fee payment: 12

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111112

Year of fee payment: 12