US20050241670A1 - Method for cleaning a reactor using electron attachment - Google Patents

Method for cleaning a reactor using electron attachment Download PDF

Info

Publication number
US20050241670A1
US20050241670A1 US10/835,450 US83545004A US2005241670A1 US 20050241670 A1 US20050241670 A1 US 20050241670A1 US 83545004 A US83545004 A US 83545004A US 2005241670 A1 US2005241670 A1 US 2005241670A1
Authority
US
United States
Prior art keywords
gas
reactor
substance
reactive
negatively charged
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/835,450
Other languages
English (en)
Inventor
Chun Dong
Bing Ji
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Priority to US10/835,450 priority Critical patent/US20050241670A1/en
Assigned to AIR PRODUCTS AND CHEMICALS, INC. reassignment AIR PRODUCTS AND CHEMICALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DONG, CHUN CHRISTINE, JI, BING
Priority to US11/095,580 priority patent/US20050241671A1/en
Priority to JP2005125113A priority patent/JP2005317963A/ja
Priority to KR1020050035030A priority patent/KR100644181B1/ko
Priority to TW094113719A priority patent/TWI263255B/zh
Priority to EP05009316A priority patent/EP1598881A3/en
Priority to CN200510071791.8A priority patent/CN1770390A/zh
Publication of US20050241670A1 publication Critical patent/US20050241670A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases

Definitions

  • RF plasma is generated inside the reactor and high-energy electrons in the plasma dissociate NF 3 by electron impact.
  • In situ plasmas can become highly electronegative, such as, for example, by the formation of negative ions.
  • negative ions dominate over electrons as the charge carrier, the plasma becomes unstable and/or collapses within the reactor thereby leading, inter alia, to incomplete chamber cleaning, poor NF 3 utilization, and low NF 3 dissociation efficiency.
  • highly energetic ion bombardment that occurs during in situ cleaning may cause hardware damage.
  • remote plasma cleaning alleviates the drawbacks of in situ cleaning, fluorine utilization efficiency is much lower, increasing the overall cost of ownership of the process.
  • the negatively charged ions such as F ⁇ in equation (1), can act as active species which then react with the substance to be removed, such as SiO 2 in equation (2) below, to form one or more volatile products, such as SiF 4 and O 2 in equation (2): 4F ⁇ ( g )+SiO 2 ( s ) ⁇ SiF 4 ( g )+O 2 ( g )+4 e ⁇ (2)
  • the free electrons may be neutralized at the grounded anode.
  • the effect of inert gases can be very small or negligible because of their small or zero value of electron affinity (e.g. N 2 ).
  • the method can be used as an alternative to remote plasma cleaning.
  • the gas mixture comprising the reactive gas is passed through a target area and/or a remote negative ion generator, which contains a first and second electrode that act as a cathode and an anode.
  • a remote negative ion generator is illustrated in co-pending U.S. patent application Ser. No. 10/819,277 which is currently assigned to the assignee of the present invention and incorporated herein by reference in its entirety.
  • the outlet of the remote negative ion generator may be in fluid communication with the reactor.
  • some of these electrons may attach on the reactive gas molecules and form negatively charged ions by electron attachment.
  • some positive ions are also created by ionization of the inert gas, which then drift toward the anode and are neutralized at the anode surface.
  • a remote plasma source such as, but not limited to, a remote thermal activation source, a remote catalytically activated source, or a source which combines thermal and catalytic activation, may be used rather than an in situ plasma to generate the volatile product.
  • a remote plasma source such as, but not limited to, a remote thermal activation source, a remote catalytically activated source, or a source which combines thermal and catalytic activation
  • an intense discharge of cleaning gases is generated outside of the deposition chamber, reactive species such as reactive atoms and radicals then flow downstream into the deposition chamber to volatize the deposition residues.
  • Either an RF or a microwave source can generate the remote plasma source.
  • power ranging from 100 to 14,000 Watts may be used to activate the plasma.
  • the method described herein may be used in several areas of semiconductor manufacturing other than chamber cleaning, such as etching silicon wafers and removing post-etch or post-ion implantation photoresist materials and sidewall passivations films.
  • etching silicon wafers and removing post-etch or post-ion implantation photoresist materials and sidewall passivations films are used in these wafer-manufacturing processes.
  • the use of a negatively charged cleaning gas may provide at least one of the following advantages: high etching rate; high anisotropy of etching; feasibility for etching high aspect ratio features; low operation cost; and low capital cost.

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
US10/835,450 2004-04-29 2004-04-29 Method for cleaning a reactor using electron attachment Abandoned US20050241670A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US10/835,450 US20050241670A1 (en) 2004-04-29 2004-04-29 Method for cleaning a reactor using electron attachment
US11/095,580 US20050241671A1 (en) 2004-04-29 2005-04-01 Method for removing a substance from a substrate using electron attachment
JP2005125113A JP2005317963A (ja) 2004-04-29 2005-04-22 電子付着を用いて基材から物質を除去する方法
KR1020050035030A KR100644181B1 (ko) 2004-04-29 2005-04-27 전자 부착을 이용하여 기판으로부터 물질을 제거하는 방법
TW094113719A TWI263255B (en) 2004-04-29 2005-04-28 Method for removing a substance from a substrate using electron attachment
EP05009316A EP1598881A3 (en) 2004-04-29 2005-04-28 Method for removing a substance from a substrate using electron attachment
CN200510071791.8A CN1770390A (zh) 2004-04-29 2005-04-29 使用电子附着从衬底除去物质的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/835,450 US20050241670A1 (en) 2004-04-29 2004-04-29 Method for cleaning a reactor using electron attachment

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/095,580 Continuation-In-Part US20050241671A1 (en) 2004-04-29 2005-04-01 Method for removing a substance from a substrate using electron attachment

Publications (1)

Publication Number Publication Date
US20050241670A1 true US20050241670A1 (en) 2005-11-03

Family

ID=35185844

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/835,450 Abandoned US20050241670A1 (en) 2004-04-29 2004-04-29 Method for cleaning a reactor using electron attachment

Country Status (2)

Country Link
US (1) US20050241670A1 (zh)
CN (1) CN1770390A (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040139915A1 (en) * 2000-03-27 2004-07-22 Semiconductor Energy Laboratory Co., Ltd., A Japan Corporation Plasma CVD apparatus and dry cleaning method of the same
US20060137709A1 (en) * 2004-12-28 2006-06-29 Akitake Tamura Film formation apparatus and method of using the same
US20080149690A1 (en) * 2003-04-28 2008-06-26 Air Products And Chemicals, Inc. Removal of Surface Oxides by Electron Attachment for Wafer Bumping Applications
US20080286491A1 (en) * 2007-01-31 2008-11-20 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US20090223831A1 (en) * 2008-03-04 2009-09-10 Air Products And Chemicals, Inc. Removal of Surface Oxides by Electron Attachment
US20090236236A1 (en) * 2003-04-28 2009-09-24 Air Products And Chemicals, Inc. Removal of Surface Oxides by Electron Attachment
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
CN102754201A (zh) * 2009-10-26 2012-10-24 苏威氟有限公司 用于生产tft基质的蚀刻方法
US10727050B1 (en) 2016-06-15 2020-07-28 Northrop Grumman Systems Corporation Wafer-scale catalytic deposition of black phosphorus
US11338280B2 (en) * 2020-02-03 2022-05-24 Usa Debusk Llc Catalytic reactor system treatment processes

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4772610B2 (ja) * 2006-07-19 2011-09-14 東京エレクトロン株式会社 分析方法
RU2010130570A (ru) * 2007-12-21 2012-01-27 Солвей Флуор Гмбх (De) Способ получения микроэлектромеханических систем
JP2022501832A (ja) * 2018-10-05 2022-01-06 ラム リサーチ コーポレーションLam Research Corporation 処理チャンバの表面からの金属汚染物質の除去

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4910435A (en) * 1988-07-20 1990-03-20 American International Technologies, Inc. Remote ion source plasma electron gun
US5356478A (en) * 1992-06-22 1994-10-18 Lam Research Corporation Plasma cleaning method for removing residues in a plasma treatment chamber
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5449411A (en) * 1992-10-20 1995-09-12 Hitachi, Ltd. Microwave plasma processing apparatus
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5587720A (en) * 1991-11-08 1996-12-24 Fujitsu Limited Field emitter array and cleaning method of the same
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5882424A (en) * 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6217703B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
US6217704B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
US6456010B2 (en) * 2000-03-13 2002-09-24 Mitsubishi Heavy Industries, Ltd. Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
US20030037801A1 (en) * 2001-08-27 2003-02-27 Applied Materials, Inc. Method for increasing the efficiency of substrate processing chamber contamination detection
US20030129835A1 (en) * 2002-01-07 2003-07-10 Applied Materials Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US20040011385A1 (en) * 2002-07-22 2004-01-22 Henderson Philip Bruce Glass-coating reactor cleaning with a reactive gas
US20040045577A1 (en) * 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas
US20040129671A1 (en) * 2002-07-18 2004-07-08 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4910435A (en) * 1988-07-20 1990-03-20 American International Technologies, Inc. Remote ion source plasma electron gun
US5587720A (en) * 1991-11-08 1996-12-24 Fujitsu Limited Field emitter array and cleaning method of the same
US5356478A (en) * 1992-06-22 1994-10-18 Lam Research Corporation Plasma cleaning method for removing residues in a plasma treatment chamber
US5449411A (en) * 1992-10-20 1995-09-12 Hitachi, Ltd. Microwave plasma processing apparatus
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5882424A (en) * 1997-01-21 1999-03-16 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6217703B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
US6217704B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
US6456010B2 (en) * 2000-03-13 2002-09-24 Mitsubishi Heavy Industries, Ltd. Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
US20030037801A1 (en) * 2001-08-27 2003-02-27 Applied Materials, Inc. Method for increasing the efficiency of substrate processing chamber contamination detection
US20030129835A1 (en) * 2002-01-07 2003-07-10 Applied Materials Inc. Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
US20040129671A1 (en) * 2002-07-18 2004-07-08 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011385A1 (en) * 2002-07-22 2004-01-22 Henderson Philip Bruce Glass-coating reactor cleaning with a reactive gas
US20040045577A1 (en) * 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7223446B2 (en) * 2000-03-27 2007-05-29 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus and dry cleaning method of the same
US20070181146A1 (en) * 2000-03-27 2007-08-09 Semiconductor Energy Laboratory Co., Ltd. Plasma cvd apparatus and dry cleaning method of the same
US7569256B2 (en) 2000-03-27 2009-08-04 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus and dry cleaning method of the same
US20040139915A1 (en) * 2000-03-27 2004-07-22 Semiconductor Energy Laboratory Co., Ltd., A Japan Corporation Plasma CVD apparatus and dry cleaning method of the same
US7883602B2 (en) 2003-04-28 2011-02-08 Air Products And Chemicals, Inc. Electrode assembly for the removal of surface oxides by electron attachment
US8617352B2 (en) 2003-04-28 2013-12-31 Air Products And Chemicals, Inc. Electrode assembly for the removal of surface oxides by electron attachment
US20080149690A1 (en) * 2003-04-28 2008-06-26 Air Products And Chemicals, Inc. Removal of Surface Oxides by Electron Attachment for Wafer Bumping Applications
US8361340B2 (en) 2003-04-28 2013-01-29 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
US20080295966A1 (en) * 2003-04-28 2008-12-04 Air Products And Chemicals, Inc. Electrode Assembly For The Removal Of Surface Oxides By Electron Attachment
US8119016B2 (en) 2003-04-28 2012-02-21 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment for wafer bumping applications
US20090236236A1 (en) * 2003-04-28 2009-09-24 Air Products And Chemicals, Inc. Removal of Surface Oxides by Electron Attachment
US7615163B2 (en) * 2004-12-28 2009-11-10 Tokyo Electron Limited Film formation apparatus and method of using the same
US20060137709A1 (en) * 2004-12-28 2006-06-29 Akitake Tamura Film formation apparatus and method of using the same
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US8043659B2 (en) 2007-01-31 2011-10-25 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US20080286491A1 (en) * 2007-01-31 2008-11-20 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US7897029B2 (en) 2008-03-04 2011-03-01 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
US20090223831A1 (en) * 2008-03-04 2009-09-10 Air Products And Chemicals, Inc. Removal of Surface Oxides by Electron Attachment
CN102754201A (zh) * 2009-10-26 2012-10-24 苏威氟有限公司 用于生产tft基质的蚀刻方法
US10727050B1 (en) 2016-06-15 2020-07-28 Northrop Grumman Systems Corporation Wafer-scale catalytic deposition of black phosphorus
US11338280B2 (en) * 2020-02-03 2022-05-24 Usa Debusk Llc Catalytic reactor system treatment processes

Also Published As

Publication number Publication date
CN1770390A (zh) 2006-05-10

Similar Documents

Publication Publication Date Title
US20050241671A1 (en) Method for removing a substance from a substrate using electron attachment
KR100443118B1 (ko) 다중성분의 합금을 에칭하기 위한 플라즈마 처리방법
TWI442836B (zh) 電漿反應器
KR100786611B1 (ko) 원격 플라스마 챔버 세척시의 자유 라디칼 개시제
JPH05267256A (ja) 反応室の洗浄方法
KR100644176B1 (ko) 고 유전상수 재료에 대해 증착실을 세정하는 방법
KR101770845B1 (ko) 이온 소스 구성요소의 세척 방법
EP3090073B1 (en) Method of etching a film on a semiconductor in a semiconductor manufacturing process chamber
CN1770390A (zh) 使用电子附着从衬底除去物质的方法
EP3038142A1 (en) Selective nitride etch
US8372756B2 (en) Selective etching of silicon dioxide compositions
CN101278072A (zh) 使用nf3除去表面沉积物的方法
JP2007531289A (ja) 表面堆積物を除去するための遠隔チャンバ方法
US20040045577A1 (en) Cleaning of processing chambers with dilute NF3 plasmas
Sirard Introduction to plasma etching
EP1892047A2 (en) Detecting the Endpoint of a Cleaning Process
CN101764044A (zh) 等离子装置工艺腔预处理的方法
KR102275996B1 (ko) 하이드로플루오로올레핀 식각 가스 혼합물
JP3169759B2 (ja) プラズマエッチング方法
US6716765B1 (en) Plasma clean for a semiconductor thin film deposition chamber
TWI822194B (zh) 一種晶圓清洗裝置及使用方法
Panda et al. Effect of rare gas addition on deep trench silicon etch

Legal Events

Date Code Title Description
AS Assignment

Owner name: AIR PRODUCTS AND CHEMICALS, INC., PENNSYLVANIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DONG, CHUN CHRISTINE;JI, BING;REEL/FRAME:015627/0755

Effective date: 20040723

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION