KR100443118B1 - 다중성분의 합금을 에칭하기 위한 플라즈마 처리방법 - Google Patents

다중성분의 합금을 에칭하기 위한 플라즈마 처리방법 Download PDF

Info

Publication number
KR100443118B1
KR100443118B1 KR1019970003470A KR19970003470A KR100443118B1 KR 100443118 B1 KR100443118 B1 KR 100443118B1 KR 1019970003470 A KR1019970003470 A KR 1019970003470A KR 19970003470 A KR19970003470 A KR 19970003470A KR 100443118 B1 KR100443118 B1 KR 100443118B1
Authority
KR
South Korea
Prior art keywords
plasma
substrate
ions
power level
gas
Prior art date
Application number
KR1019970003470A
Other languages
English (en)
Other versions
KR970062080A (ko
Inventor
지아오빙 마 다이아나
다지마 다이슈크
자오 알렌
케이. 레벤하트 피터
알. 웨브 티모시
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR970062080A publication Critical patent/KR970062080A/ko
Application granted granted Critical
Publication of KR100443118B1 publication Critical patent/KR100443118B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electrochemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

기판상에 부식제 잔류물을 형성하지 않고 다중 성분 합금을 에칭하는 방법이 기술되어 있다. 이러한 방법에서, 기판은 플라즈마 발생기와 플라즈마 전극을 포함하는 처리 챔버내에 위치된다. (i) 해리된 Cl+ 플라즈마 이온과 비해리된 Cl2+ 플라즈마 이온을 형성하도록 이온화 가능한 염소 함유 가스 대 (ii) 염소 함유 가스의 해리를 증가시킬 수 있는 불활성 가스의 용적 유량비(Vr)를 포함하는 처리 가스가 처리 챔버 내에 유입된다. 이러한 처리 가스가 이온화되어서, 제 1 전력 레벨의 RF 전류를 플라즈마 발생기에 인가시키고, 제 2 전력 레벨의 RF 전류를 플라즈마 전극에 인가시킴으로써 기판 상에서 동적으로 충돌하는 플라즈마 이온을 형성한다. 염소 함유 부식제 가스가 이온화되어 해리된 Cl+플라즈마 이온과 비해리된 Cl2 +플라즈마 이온의 비가 약 0.6 : 1 이상으로 형성되도록 처리 가스의 용적 유량비 Vr및 제 1 전력 레벨 대 제 2 전력 레벨의 전력비(Pr)의 조합이 선택된다. 비해리된 Cl2 +이온과 관련하여 증가된 량의 해리된 Cl+이온이 기판 상에 부식제 잔류물을 형성하지 않고 약 500 mm/분 이상의 에칭 속도로 기판 상에 다중 성분 합금을 에칭한다.

Description

다중 성분의 합금을 에칭하기 위한 플라즈마 처리 방법
본 발명은 기판 상에 다중 성분의 합금을 에칭하기 위한 방법에 관한 것이다.
집적 회로의 제조에 있어서, 도 1a에 도시되어진 것과 같이, 반도체 기판(11) 상에 형성된 전기적 소자를 상호연결하는데 전기 전도성 미세구조물(10)이 사용된다. 전도성 미세구조물(10)은 일반적으로 장벽층과, 다중 성분의 합금을 포함하는 전기 전도층 및 반사 방지층을 포함한다. 이러한 전도성 미세구조물(10)은 먼저, 기판(11)상에 다른 층(12)이 연속적으로 증착하는 단계와, 증착되어진 층위에 패턴화된 레지스트(13)를 형성하는 단계와, 그리고 이러한 미세구조물을 형성하기 위해 증착된 층의 노출면을 에칭시키는 단계에 의해 형성된다. 일반적으로, 증착되어진 층(12)은 본원에 참조된, 1986년 캘리포니아, 선셋 비치, 래티스 프레스의 S.Wolf 및 R.N.Tauber에 의해 기술되어진 VLSI용 건식 에칭인 VLSI 기간용 실리콘 처리 제 1 권 16장에서와 같이 Cl2, 또는 CCl4와 같은 염소 부식제 가스의 플라즈마를 사용하여 에칭된다.
종래의 에칭 처리법에 있어서의 하나의 문제점은, 전도층(12)의 에칭 속도 대 레지스트(13)의 에칭 속도의 비인, 에칭 선택비의 조절이 어렵다는 점이다. 레지스트(13)가 하부의 전도층(12)을 효과적으로 보호하기 위해서는 전도층의 에칭 속도는 레지스트 에칭 속도보다 더 커야한다. 더욱이, 부식제 가스는 측벽의 에칭을 제한하고 "등방성(isotropic)" 에칭을 막아주는 "패시베이트"층을 형성하기 위해 에칭되어진 미세구조물의 측벽에 증착되는 중합체 부산물을 형성하도록 레지스트(13)와 반응한다. 등방성 에칭은 미세구조물(10)의 측벽이 과도하게 또는 과소하게 에칭되어 내부 또는 외부로 계단식 측벽을 이룰 때 발생한다. 수직의 "이방성(anisotropic)" 에칭이 바람직하다 하더라도, 측벽상의 과도한 패시베이트 증착물(14)은 세정되기 어렵다. 더욱이, 챔버벽상에 중합체 부산물의 증착은 박리를 야기할 수 있고 중합체 부산물 증착물로 인해 기판(11)을 오염시킬 수도 있다. 따라서, 에칭 처리의 에칭 선택비는 바람직하게 적어도 약 2.5, 보다 바람직하게는 3이다.
종래의 에칭 처리 방법에 있어서의 또 다른 문제점은 전기 전도층(12)을 형성하기 위해 사용되는 다중 성분 합금에서 발생한다. 일반적인 합금은 약 97%의 알루미늄, 2%의 실리콘 및 불순물의 형태인 구리를 포함한다. 염소가 함유된 부식제가스에 의해 알루미늄이 쉽게 에칭되는 반면에, 실리콘 및 구리 불순물은 에칭이 곤란하여 기판상에 잔류하는 부식제 잔류물(15)을 형성한다. 부식제 잔류물 형성에 있어서는 몇가지 이유가 있다. 먼저, 실리콘 및 구리 불순물은 알루미늄보다 더 낮은 에칭 속도로 에칭되어, 기판상에 구리 및 실리콘 함유 잔류물의 형성이 일어난다. 둘째로, CuCl과 같은 구리 및 실리콘으로부터 형성된 부식제 부산물의 증기압은 정상적인 에칭 처리 조건하에서 AlCl3의 증기압과 비교하여 더 낮다. 잔류물의 형성은 구리 및 실리콘 잔류물이 알루미늄의 결정 입계에서 축적되고, TiO2와 같은 알루미늄 층과 경계층에서 형성된 비반응성 학산층은 에칭되기가 어렵기 때문에 또한 발생한다.
부식제 잔류물(15)을 제거하기 위한 종래 기술에 있어서, 염소 부식제의 농도는 알루미늄 에칭 속도를 낮추기 위해 감소되어져서, 실리콘 및 구리는 알루미늄이 에칭되는 속도와 동일한 속도로 에칭 또는 스퍼터링된다. 그러나, 정확한 에칭 속도의 균형은 불순물의 존재와 같은 합금의 조성의 작은 변화에 의해서도 쉽게 방해를 받는다. 그 결과, 에칭 처리는 합금 조성과 처리 조건의 좁은 범위에 대해서만 효과적으로 작동한다. 염소 부식제의 농도를 감소하는 것은 다중 성분 합금용 에칭 속도의 감소를 초래하여 낮은 처리 수율이 제공된다.
부식제 잔류물(15)을 제거하기 위한 또 다른 기술 방법에 있어서, 기판을 전기적으로 바이어스함으로써 기판(11)상에 플라즈마 이온을 강력하게 충돌하게 하는데 사용되는 전기장이 증가되어 고에너지의 플라즈마 이온이 부식제 잔류물(15)을스퍼터링시켜 기판(11)으로부터 제거한다. 그러나, 고 에너지 플라즈마 이온은 에칭되어진 미세구조물(10)의 측벽 상에 형성된 패시베이트 증착물(14)을 또한 스퍼터링하고 에칭한다. 근접하게 이격된 미세구조물(10)의 측벽상에 있는 패시베이트 증착물(14)은 플라즈마 이온이 "채널을 형성하고(channeled)" 근접하게 이격된 미세구조물의 측벽을 튀어 오르기 때문에 고속으로 에칭되어진다. 대조적으로, 이격된 미세구조물(10)의 측벽상에 있는 패시베이트 증착물(14)의 에칭 속도는 낮다. 이는 높은 프로파일 마이크로부하를 가져와서, 미세구조물(10)의 횡단면 프로파일이 미세구조물(10)사이의 공간 또는 거리의 함수로서 변화한다. 모든 미세구조물(10)이 미세구조물(10) 사이의 공간에 관계없이 균일한 횡단면을 갖는 것이 바람직하다. 프로파일 마이크로부하의 문제점은 플라즈마가 기판에 근접한 즉, 기판으로부터 6 내지 9 cm 떨어진 거리에서 천장을 갖는 챔버내의 플라즈마 전극 또는 유도 코일에 비교적 높은 전력 레벨의 RF 전류를 인가함으로써 기판(11)에 근접한 제한된 공간내에 형성될 때 더 악화된다. 이러한 문제점을 방지하기 위해서, 플라즈마 RF 전류 바이어스 또는 이러한 종래 챔버의 공급 전력은 때때로 약 900 와트의 최대 전력으로 제한되며, 기판(11)상에 부식제 잔류물(15)의 제거를 제한하며, 좁은 처리 윈도우를 제공한다.
또 다른 종래의 플라즈마 처리 챔버는 기판(11)에 안내되어지는 플라즈마를 발생시키기 위해 마이크로파 플라즈마 공급원과 같은 원격작동의 플라즈마 공급원을 사용한다. 원격작동의 플라즈마 처리 챔버는 플라즈마를 발생시키기 위해 더 높은 공급전력의 사용이 가능하며, 낮은 전력 플라즈마와 관련된 문제점의 일부를 경감시킨다. 그러나, 플라즈마 발생원으로부터 기판(11)에 이르기까지 비교적 먼 거리를 통해 진행될 때, 플라즈마 내에 해리된 이온의 대부분은 비해리 플라즈마종을 형성하기 위해 재결합한다. 이는 에칭 속도 및 잔류물 조절과 같은 처리 변수의 최적화에 쉽게 허용되지 않는 더 좁은 에칭 처리 윈도우를 초래한다.
따라서, 에칭 처리가 에칭 속도를 상승시키고 및 부식제 잔류물의 형성을 감소시키는 에칭 처리가 필요하다. 또한 에칭 처리가 에칭된 미세구조물의 측벽상에 형성된 패시베이트 증착물의 양을 조절함으로써 감소된 프로파일 마이크로부하와 실질적으로 이방성 에칭을 제공하는 것이 바람직하다. 또한 에칭 처리가 높은 에칭 선택비를 제공하는 것이 바람직하다. 또한 우수한 에칭 특성을 제공하기 위해, 다량의 해리된 플라즈마 이온을 포함하고 있는 기판에 충분히 근접하여 높은 전력 플라즈마를 형성하는 것이 바람직하다.
도 1a는 기판상에 기울어진 측벽 및 부식제 잔류물이 형성된 에칭된 미세구조물을 도시하는 종래 기술인 기판의 개략적인 수직 횡단면도.
도 1b는 기판상에 부식제의 잔류물 없이 이방성으로 에칭되어진 미세구조물을 나타내는 본 발명에 따라 가공 처리되어진 기판의 수직 횡단면도.
도 2는 본 발명의 공정을 실행하기에 적합한 가공 처리 챔버의 수직 횡단면도.
도 3은 (i) 해리된 염소 이온 대 해리되지 않은 염소 이온의 비, 및 (ii) 아르곤 대 염소의 용적 유량비를 증가시키기 위해 플라즈마내의 총 이온의 수를 도시한 그래프.
도 4는 (i) 플라즈마내의 평균 이온 전류 플럭스 및 (ii) 아르곤 대 염소의 용적 유량비를 증가시키기 위해 플라즈마의 전압을 도시한 그래프.
도 5는 아르곤 대 염소의 용적 유량을 증가시키기 위해 플라즈마의 이온 에너지의 분포의 변화를 나타낸 그래프.
도 6은 유도자 코일 플라즈마 발생기에 인가된 RF 전력의 별개의 주파수용 아르곤 스퍼터링 속도의 변화를 도시하는 그래프.
도 7은 유도 코일 플라즈마 발생기에 인가된 RF 전류의 별개의 주파수용 레지스트 에칭 속도의 변화를 도시하는 그래프.
※도면의 주요부분에 대한 부호의 설명※
1O ,30 : 전도성 미세구조물 11, 12 : 반도체 기판
12 : 전도층 13 : 레지스트
15 : 부식제 잔류물 20 : 다중 성분 합금
50 : 처리 챔버 55 : 플라즈마 발생기
60 : 준 원격작동의 플라즈마 영역
본 발명은 기판 상에 부식제 잔류물을 형성하지 않고 다중 성분 합금을 에칭하는 방법에 관한 것이다. 본 발명의 일 관점에서, 기판은 플라즈마 발생기와 플라즈마 전극을 포함하는 처리 챔버 내에 위치한다. (i) 해리된 이온 및 비해리된 이온을 형성하기 위해 전기장 내에서 해리가능한 부식제 가스와, (ii) 부식제 가스의 해리를 더 가능하게 하는 불활성 가스를 포함하는 가공 처리 가스는 처리 챔버 내부로 유입된다. 바람직하게, 처리 가스는 (i) 해리된 Cl+플라즈마 이온과 비해리된Cl2 +플라즈마 이온을 형성하도록 이온화 가능한 염소 함유 가스와 상기 염소 함유 가스의 해리를 증가시킬 수 있는 불활성 가스의 용적 유량비 (Vr)를 갖는다. 처리 가스는 (i) 제 1 전력 레벨의 RF 전류를 플라즈마 발생기에 인가시키고, (ii) 제 2 전력 레벨의 RF 전류를 플라즈마 전극에 인가시킴으로써 기판 상에서 동적으로 충돌하는 플라즈마 이온을 형성하도록 이온화된다. 염소 함유 부식제 가스가 이온화되어 해리된 Cl+플라즈마 이온과 비해리된 Cl2 +플라즈마 이온의 비가 적어도 약 0.6 : 1로 형성되도록 (i) 처리 가스의 용적 유량비 Vr및 (ii) 제 1 전력 레벨 대 제 2 전력 레벨의 전력비(Pr)의 조합이 선택된다. 비해리된 Cl2 +이온과 관련하여 증가된 량의 해리된 Cl+이온이 기판 상의 실질적으로 모든 잔류물을 동시에 제거하면서 약 500 mm/분 이상의 에칭 속도로 기판 상의 다중 성분 합금을 에칭한다.
본 발명의 또 다른 관점에서, 기판은 (i) 직경(D)이 약 150 mm 내지 304 mm인 기판 위로 100 mm 내지 약 175 mm의 높이 (H)에서 정점을 갖는 천장 및, (ii) 상기 천장의 아래와 상기 기판의 위로 플라즈마를 형성할 수 있는 플라즈마 발생기를 포함하고 있는 처리 챔버의 준 원격작동의 플라즈마 영역 내에 기판을 위치시킨다. 염소 함유 가스와 불활성 가스의 용적비(VR)를 갖는 처리 가스가 챔버 내로 유입된다. 처리 가스는 준 원격작동의 플라즈마 영역 내에 이온화되어, 염소 함유가스는 실질적으로 부식제 잔류물을 형성하지 않고 기판상에 다중 성분 합금을 에칭하기 위해, 적어도 약 0.6 : 1의 비에서 해리된 Cl+이온과 비해리된 Cl2 +이온을 형성하기 위해 기판 직상에서 이온화된다. 준 원격작동의 플라즈마원은 기판의 직상에서 인접하게 비교적 큰 체적의 플라즈마를 발생시키기 때문에, 기판을 에칭시키는 플라즈마는 비해리된 Cl2 +이온과 관련해서 증가된 해리된 Cl+플라즈마 이온을 포함하고 있다. 준 원격작동의 플라즈마 영역 내의 플라즈마의 발생은 플라즈마가 해리된 Cl+이온의 대부분이 비해리된 Cl2 +이온을 형성하기 위해 재결합하기 이전에 기판을 에칭하도록 한다.
본 발명의 또 다른 실시예에 있어서, 처리 챔버를 둘러싼 유도 코일에 인가된 RF 전류의 주파수는 약 6MHZ 이하의, 더 바람직하게는 1 내지 3 MHZ 이하의 낮은 주파수로 감소된다. 이와 같은 낮은 주파수에서, 유도 코일로부터 용량성 결합은 감소되며, 그리고 플라즈마 전력 레벨은 플라즈마 이온의 동력 충격 에너지의 증가없이 고밀도 유동 결합된 플라즈마를 제공하고, 높은 에칭 속도와, 감소된 부식제 잔류물과, 그리고 감소된 프로파일 마이크로부하를 제공하기 위해 750 와트이상으로, 더 바람직하게는 1000와트 이상으로 증가될 수 있다.
본 발명의 이러한 특성 및 또 다른 특성과 잇점들이 첨부 도면과 명세서 및 청구범위로부터 더 잘 인지되어질 것이다.
본 발명은 기판(25)상에 부식제 잔류물을 형성하지 않고 기판(25)상에 다중 성분 합금 층을 에칭하기 위한 에칭 처리 방법에 관한 것이다. 도 1b는 본 발명에따라 처리되어진 기판(25)의 일부분을 도시하고 있다. 다중성분 합금(20)은 제 1 및 제 2 성분을 포함하고 있는데, 제 1 성분은 제 2 성분보다 부식제 플라즈마에 더 잘 반응하거나, 더 높은 증기압을 가진다. 다중 성분 합금은 반응성이 더 빠른 제 1 성분이 더 빠른 속도에서 반응하여 에칭하기 곤란하며, 제 2 성분보다 높은 증기압으로 인해 더 빨리 증기화되기 곤란하다. 이는 종래의 에칭 처리에 있어서 기판(25)상에 부식제 잔류물을 형성하는 제 2 성분을 초래한다.
이러한 에칭 처리는 일반적으로 반도체 실리콘 또는 갈륨 비화물 웨이퍼와 같은 기판(25)상에서 시행된다. 전기 전도성 미세구조물(30)은 기판(25)상에 증착된 전도층으로부터 에칭되며, 전도층은 (i) 티타늄, 텅스텐, 티타늄-텅스텐 또는 티타늄 질화물과 같은 확산 경계층(35)과, (ii) 알루미늄과 같은 제 1 성분과, 구리 및 실리콘과 같은 제 2 성분으로 이루어지는 전기 전도성 다중성분 합금(20) 및 (ⅲ) 실리콘, 티타늄 질화물 또는 티타늄-텅스텐으로 이루어지는 반사방지층(40)으로 이루어진다. 각각의 층은 약 1000 nm 내지 100,000 nm의 두께를 갖는다. 포토레지스트와 같은 에칭 레지스트(45)는 전도층위에 도포되고, 전도층 하부의 에칭을 방지하기 위해 포토리소그래피를 사용하여 패턴화된다. 에칭된 미세구조물(30)은 약 0.2 내지 약 10 미크론의 크기를 가지며, 미세구조물(30) 사이의 공간은 약 0.2 내지 약 10 미크론이다.
본 발명의 에칭 처리를 실행하기에 적합한 처리 챔버(50)는 도 2에 도시되어 있으며, 산타 클라라, 캘리포니아 소재의 어플라이드 머티어리얼스사로부터 제조되어 상업적으로 이용되는 상표명 DPS 처리 챔버일 수도 있다. 여기에 도시된 처리챔버(50)의 특정 실시예는 반도체 기판(25)의 처리에 적합하며, 본 발명을 예시하기 위해 제공되어지며, 본 발명의 영역을 제한하는데 사용되지는 않을 것이다. 예를 들어, 본 발명의 에칭 처리는 임의의 기판(25)을 에칭하는데 사용이 가능하며, 반도체의 제조 이외의 제조 공정에도 사용이 가능하다.
에칭 처리에 있어서, 기판(25)은 처리 챔버(50)내에 위치된다. 불활성 가스대 염소 함유 부식제 가스의 용적 유량 비 Vr을 갖는 처리 가스가 처리 챔버(50) 내부로 유입된다. 염소 함유 가스는 해리된 Cl+이온 및 비해리된 Cl2 +이온을 형성하기 위해 플라즈마내에 이온화가 가능하며, 불활성 가스는 염소 함유 가스의 해리를 높힐 수 있다. 플라즈마 이온은 처리 챔버에 인접한 플라즈마 발생기(55)에 제 1 전력 레벨에서 RF 전류를 인가함으로써 처리 가스로부터 형성된다. 플라즈마 이온은 처리 챔버내의 플라즈마 전극(57a,57b)에 제 2 전력 레벨를 갖는 RF 전류를 인가시킴으로써 기판에 붙게된다. 처리 가스의 용적 유량비 Vr과 제 1 전력 레벨 대 제 2 전력 레벨의 전력비 Pr의 조합이 선택되어 염소 함유 부식제 가스는 약 0.6:1 이상의 비에서 Cl+이온 및 Cl2 +이온을 형성하기 위해 이온화한다. 이는 비해리된 Cl2 +이온과 관련되여 해리된 Cl+이온의 증가량이 제 2 성분의 에칭 속도의 희생없이, 또한 기판(25)상에 부식제 잔류물을 형성하지 않고 다중 성분 합금(20)의 제 1 성분의 에칭이 신속하게(약 500 nm/min을 넘는 에칭 속도에서) 행해진다고 이해되어 진다.
챔버내에 행하여진 에칭 처리에 최적의 특성을 얻기 위해 준 원격작동의 플라즈마 영역(60)을 갖는 처리 챔버(50)를 사용하는 것이 바람직하다. 처리 챔버(50)의 준 원격작동의 플라즈마 영역(60)은 (ⅰ) 직경(D)이 약 150 mm 내지 304 mm인 기판 위로 100 mm 내지 약 175 mm의 높이 (H)에서 정점을 갖는 천장(62)과, (ⅱ) 천장(62)아래와 기판(25) 직상에 플라즈마를 형성할 수 있는 플라즈마 발생기(55)를 포함하고 있다. 보다 바람직하게는, 처리 챔버의 준 원격작동의 플라즈마 영역(60)은 기판에 인접한 측벽(63)에 의해 둘러싸여져 있으며, 약 10,000 ㎤ 이상, 보다 바람직하게는 약 10,000 내지 약 50,000 ㎤ 이상의 체적으로 이루어진다. 가장 바람직하게는, 준 원격작동의 플라즈마 영역(60)은 기판 직상에 약 50 내지 약 150 mm, 보다 바람직하게는 기판(25)으로부터 75 mm 보다 크고 125 mm 보다는 적은 거리에서 위치된 중심부(64)를 갖는다. 처리 가스는 기판(25) 주변에 위치된 가스 분배기(65)를 사용하여 처리 영역(60)내에 분포된다.
준 원격작동의 플라즈마 영역(60)내에서 염소 함유 가스는 기판(25)상에 부식제 잔류물을 형성하지 않고 높은 에칭 속도에서 기판(25) 상에 다중 성분 합금(20)을 에칭하기 위해 약 0.6 : 1 이상, 보다 바람직하게는 1: 1 이상, 가장 바람직하게는 5 : 1보다 더 큰 비로 해리된 Cl+이온 및 비해리된 Cl2 +이온을 형성하기 위해 이온화가 가능하다는 것이 발견되었다. 플라즈마는 기판(25)에 인접한상대적으로 큰 부피의 공간에서 발생되어, 다량의 해리된 Cl+이온이 비해리된 Cl2 +이온을 형성하도록 재결합되기 전에 플라즈마가 기판(25)을 에칭시키기 때문에, 기판을 에칭하는 플라즈마가 비해리된 Cl2 +이온에 비해 증가된 양의 해리된 Cl+이온을 포함한다고 공지되어 있다. 만일, 플라즈마 영역(60)의 중심부(64)가 기판(25)으로부터 약 30 cm 이상 멀리 떨어져 있으면, 해리된 플라즈마 이온은 멀리 떨어진 플라즈마 원으로부터 기판에 이르기까지 이동할 때 비해리 이온을 형성하기 위해 재결합한다. 역으로, 플라즈마 영역(60)의 중심부(64)가 기판(25)에 너무 인접하여 있으면, 즉, 약 10 cm 이하일 때 플라즈마 이온의 해리를 증가시키기 위해 플라즈마 원에 인가된 고 동력 전류는 플라즈마 이온이 과다하게 높은 동력 에너지를 갖게 하며, 낮은 에칭 효율을 가져온다. 유사하게, 플라즈마 영역(60)의 체적이 지나치게 크면, 플라즈마 영역 내의 해리된 이온은 비 해리된 종을 형성하기 위해 재결합한다. 또한, 플라즈마 영역(60)의 체적이 너무 작으면, 강력화된 불활성 가스의 평균 자유 행로가 너무 작아서, 불활성 이온과 부식액 가스 분자사이에 미소한 충돌을 가져와서 해리된 플라즈마 이온을 형성하는 것이 어렵다. 이러한 이유로 인해, 준 원격작동의 플라즈마 영역(60)을 사용하는 것이 바람직하다.
처리 챔버(50)의 천장(62)은 편평하거나, 사각형 형상, 아치형, 원뿔형, 동형상, 또는 다중 반경 돔 형상이다. 바람직하게, 처리 챔버(50)는 부식제 가스의 해리를 증가시키기 위해 플라즈마 영역(60)의 전체 체적을 가로질러 플라즈마원 전력의 균일한 분배를 제공하기 위해 기판위로 다중 반경의 돔 형상의 천장(62)을 갖는다. 돔 형상의 천장(62)은 기판(25) 근처에 해리된 이온 재결합 손실은 평평한 천장일때보다 더 적으며, 플라즈마 이온 밀도는 기판(25)을 가로질러 보다 균일하다는 잇점을 제공한다. 이는 이온 재결합 손실이 천장(62) 부근에 의해 영향을 받으며, 돔 형상의 천장은 편평한 천장보다 기판의 중심으로부터 더 떨어져 있기 때문이다.
바람직하게, 플라즈마 발생기(55)는 처리 챔버(50)의 측벽(63) 둘레로 덮혀진 유도 코일로 이루어진다. 유도 코일 플라즈마 발생기(55)는 RF 전력 공급원(68)에 연결한다. 제 1 전력 레벨을 갖는 RF 전류가 코일을 통해 인가될 때, 코일은 처리 영역(60)내의 처리 가스로부터 플라즈마를 발생시키기 위해 챔버(50) 내부로 에너지를 유도 결합시킨다. 바람직하게, 플라즈마 발생기(55)는 기판의 중심 부위로 플라즈마원 동력의 더 효율적인 사용과 더 큰 이온 밀도의 잇점을 제공하는 도 2에 도시되어진 것과 같은 "편평한" 돔 형상을 가진 다중 반경의 돔 형상을 갖춘 유도 코일을 포함한다. 이는 이온 밀도가 유도 코일 근처의 국부적인 이온화에 의해 영향을 받으며, 다중 반경의 유도 코일이 반구형 코일보다 기판 중심부에 더 근접하기 때문이다. 또 다른 바람직한 실시예에서, 천장(62)은 중심부 반경 R과, 중심부 반경 R보다 더 작은 코너 반경 r을 갖는 다중 반경 돔을 포함하며, R/r은 약 2 내지 10내에서 변화한다.
유도 결합된 RF 처리 챔버(50)에서, 에칭 선택도는 증가하며, 이온 손실은 감소하며, 에칭 프로파일은 증가하고, 이온 밀도 균일성은 플라즈마 이온 밀도 또는 플라즈마원 동력 효율이 최적화시키면서 개선된다. 이는 기판과 관련하여 특정 천정의 돔 정점 높이 범위를 포함하는 특정 등각 코일-돔 구조에 부착됨으로써 이루어진다. 에칭 선택도는 기판(25)상에 플라즈마로부터 패시베이션 종을 증착함으로써 제공된다. 예를 들어, 다중 실리콘 층위로 실리콘 이산화물층을 에칭하는 데에 있어, 중합체를 포함하는 패시베이션 종은 실리콘 이산화물보다 다중 실리콘에 더 강하게 부착되어, 다중 실리콘은 소정의 에칭 선택도를 제공하기 위해 실리콘 이산화물보다 더 느리게 에칭된다. 실리콘 이산화물 에칭 처리에 있어서, 중합체는 플라즈마내의 플루오르화 탄소 가스로부터 형성된다. 알루미늄 에칭 처리에 있어서, 중합체는 기판(25)으로부터 플라즈마 내부로 스퍼터링되는 여러 재료중에 있어서 포토레지스트로부터 형성된다. 문제점은 기판 중심부위로 이온 밀도를 높이고, 코일의 돔 형상을 평평하게 하거나 기판(25)의 중심에서 국부적인 이온화를 증가시키기 위해 코일을 기판에 더 근접하게 하는 것이 기판 중심부 주위로 중합체의 증착 또는 형성을 막는 것이다. 이러한 중합체의 형성 없이, 에칭 선택도는 감소되거나 존재하지 않는다. 역으로, (돔 형상의 코일을 편평하지 않게 하여) 기판 중심부에서 국부적인 이온화를 감소시킴으로써 에칭 선택도를 증가시킴은 기판 중심부에서 이온 밀도를 감소시켜, 이온 밀도 균일성을 낮춘다.
에칭 처리에 있어서, 기판(25)은 챔버(50)내에 음극 플라즈마 전극(57a)상에 위치되어 있고, 챔버(50)의 벽(63)은 양극 플라즈마 전극(57b)을 형성하기 위해 전기적으로 접지되어 있다. 기판(25)은 기계적 또는 정전기적 척(73)을 사용하여 에칭 처리시에 고정될 수 있다. 음극 전극(57a)은 음극 전극(57a)에 RF 전류를 인가함으로써 양극 전극(57b)에 대해 전기적으로 바이어스될 때, 챔버(50)내에서 형성된 플라즈마 이온은 서로 접근하여 동적으로 충돌하여 기판(25)을 에칭한다. 플라즈마 전극(57a, 57b)에 인가된 RF 전압은 약 100 내지 약 500 와트, 보다 바람직하게는 100 내지 250 와트의 제 2 전력 레벨를 갖는다. 선택적으로, (도시되지 않은) 자기장은 플라즈마의 밀도 또는 균일성을 증가시키기 위해 사용될 수 있다. 준 원격작동의 플라즈마 영역(60)내의 플라즈마는 (ⅰ) 처리 챔버(50)를 둘러싸는 유도 코일 플라즈마 발생기(55)에 RF 전류를 유도적으로 인가시키고, (ⅱ) 처리 챔버(50)내의 플라즈마 전극(57a,57b)에 SF 전류를 전기 용량적으로 인가시키거나, 또는 (ⅲ) 유도적으로 또는 전기 용량적으로 인가함으로써 형성된다.
유도 코일에 인가된 RF 전류의 제 1 전력 레벨 대 플라즈마 전극(57a,57b)에 인가된 RF 전류의 제 2 전력 레벨인 전력비 Pr은 플라즈마 이온의 총 수 또는 동력 충격 에너지의 증가없이 해리된 이온의 수를 증가시키는데 사용된다. 과다하게 높은 전력비 Pr은 기판(25)을 과도하게 스퍼터링하여 불균일한 에칭과 높은 프로파일 마이크로 부하를 가져온다. 역으로, 과다하게 낮은 전력비 Pr은 부식제 가스를 해리된 이온으로 불충분하게 해리시켜 기판(25)상에 부식제 잔류물의 형성을 가져온다. 따라서, 바람직하게 전력비 Pr은 적어도 약 4 : 1, 보다 바람직하게는 적어도 약 7 : 1, 가장 바람직하게는 약 4 : 1 내지 약 10 : 1이다.
에칭 처리를 시행하기 위해, 챔버(50)는 약 1 mTorr의 압력으로 진공화되고, 기판(25)은 진공 상태에서 유지되는 (도시되지 않은)전달 챔버로부터 챔버(50)로전달된다. 부식제 처리 가스는 가스 분배기(65)를 통해 챔버(50) 내부로 유입되고, 그리고 챔버(50)는 약 1 내지 약 1000 mTorr, 더 바람직하게는 10 내지 300 mTorr 범위의 압력에서 유지된다. 플라즈마 이온은 RF 전류를 유도 코일을 통해 통과시켜 처리 가스에 필드 플럭스(field flux) Ef를 갖는 전기장을 인가함으로써 처리 가스로부터 발생된다. RF 바이어스 전압은 유도적으로 발생된 플라즈마 이온이 기판(25)에 동적으로 충돌하여 기판(25)을 에칭하도록 기판(25) 아래의 양전극(57a)에 인가된다. 소모된 처리 가스와 부식제 부산물은 처리 챔버(50)내에 약 10-3mTorr의 최소 압력을 달성할 수 있는 배기 시스템(74)을 통해 처리 챔버(50)로부터 배출된다. 스로틀 밸브(76)가 챔버(50)내의 압력을 조절하기 위해 배기 시스템내에 제공된다.
(i) 해리 이온 및 비해리 이온을 형성하기 위해 인가된 전기장내에서 이온화 가능한 염소 함유 부식제 가스 및 (ii) 불활성 가스를 포함하는 처리 가스가 처리 챔버(50) 내로 유입된다 (i) 부식제 가스 대 불활성 가스의 용적 유량비(Vr)와, (ii) 플라즈마를 발생시키고 강력화하는데 사용되는 전류의 전력비 (Pr)의 조합이 부식제 가스의 해리된 이온으로의 해리를 증가시키도록 선택되어, 해리된 이온의 수가 플라즈마의 이온 총수의 약 60% 이상에 이르며, 보다 바람직하게는 약 80% 이상에 이른다. 바람직하게, 처리 가스의 용적 유량비 (Vr)와 전력비(Pr)가 선택되어 부식제 가스는 적어도 약 0.6 : 1, 보다 바람직하게는 약 1 : 1의 질량비로 해리된Cl+이온과 Cl2 +이온을 형성하기 위해 이온화된다. 해리된 Cl+이온과 비해리된 Cl2 +이온의 비의 상승으로 인해 기판(25)상에 모든 부식제 잔류물을 제거하면서 우수한 에칭 속도를 제공한다. 과다한 염소 함유 가스는 알루미늄과 같은 다중 성분 합금(20)의 제 1 성분이 실리콘이나 구리와 같은 제 2 성분에 비교하여 높은 에칭 속도에서 에칭되어져 기판(25)상에 부식제 잔류물을 형성한다. 과다한 불활성 가스는 기판(25) 상의 레지스트를 과도하게 스퍼터링하여, 레지스트 패시팅(faceting), 산화물 손실, 및 높은 프로파일 마이크로 부하를 야기한다. 용적 유량비(Vr)와 전력비 (Pr)의 선택적 조합에서 플라즈마 이온의 총수 또는 동력 충격 에너지의 증가없이 증가된 해리된 이온이 형성된다. 이러한 플라즈마 화학적 성질은 제 2 성분의 에칭 속도와 실질적으로 동일한 에칭 속도에서 다중 성분 합금(20)의 제 1 성분을 에칭하여, 기판(25)상에 부식제 잔류물을 형성하지 않고 고속에서 다중 성분 합금(20)을 에칭한다.
유도 코일 플라즈마 발생기(55)에 인가된 RF 전류의 주파수는 약 400 KHz에서 약 13.56 MHz까지 변한다. 바람직하게, 전술한 미국 특허 출원 제 O8/3O7,870호에 기술되어진 것처럼 낮은 주파수 전류가 사용되며, 주파수는 약 6 MHz보다 적으며, 보다 바람직하게는 약 1 내지 약 3 MHz 이며, 가장 바람직하게는 약 2 MHz이다. 이러한 낮은 주파수에서, 플라즈마 전력원으로부터 용량성 결합이 감소되어 플라즈마 전력 레벨이 약 750 와트 이상의, 보다 바람직하게는 1000 내지 1600 와트로 증가된다. 이는 플라즈마 이온의 동력 충격 에너지의 증가없이 유도 결합된 고밀도의 플라즈마를 제공한다. 또한, 이러한 조건하에서, 부식제 가스의 농도는 높은 에칭 속도와 우수한 에칭 균일성과 감소된 프로파일 마이크로 부하 및, 기판(25)상에 감소된 부식제 잔류물을 제공하기 위해 약 80%로 증가될 수 있다. 본 발명의 이러한 관점에 따라, 상승된 전력 레벨에서 낮은 RF 주파수 전류는 플라즈마 이온의 동력 에너지의 증가없이 약 1.5 요소에 의해 플라즈마 공급 전력을 증가시키기 위해 유도 코일 플라즈마 발생기(55)에 인가된다. 보다 바람직하게는, 6 MHZ보다 큰 주파수, 일반적으로 약 13.56 MHZ 주파수를 갖는 RF 전압은 플라즈마 전극(57a, 57b)에 인가되어 유도 코일 및 플라즈마 전극이 다른 주파수에서 작동하여, RF 간섭 및 RF 매칭 불안정도를 방지할 수 있다.
바람직하게는, 부식제 가스 대 불활성 가스의 용적비(Vr)와 전력비(Pr)가 선택되어 에칭된 미세구조물(30)의 측벽은 편평한 표면을 가지며, 기판(25)과 약 85°이상의 각(α)을 형성하며, 다중성분 합금(20)의 에칭 속도 대 레지스트(45)의 에칭 속도의 비는 2.5보다 더 크며, 보다 바람직하게는 3보다 더 크다. 또한, 경계층(35)이 다중 성분층(20) 아래에 증착될 때, 용적 유량비 (Vr) 와 동력비 (Pr)가 선택되어 다중 성분 합금층(20)의 에칭 속도가 경계층(35)의 에칭 속도의 약 5배에 이른다. 경계층(35)의 낮은 에칭 속도는 경계층(35)을 통한 에칭없이 경계층(35)상에 에칭 처리를 멈추게 한다. 따라서, 다중 성분층(20)의 에칭 속도가 약 500 nm/분 일 때, 경계층(35)의 에칭 속도는 약 100 nm/분 보다 적어야 한다.
적절한 염소 함유 부식제 가스의 선택은 에칭되는 다중 성분 합금층(20)의조성에 따라 달라진다. 다중 성분 알루미늄 합금(20)용으로, 적합한 염소 함유 부식제 가스는 Cl2, HCl, BCl3, HBr, CCl4, SiCl4및 이들의 혼합물을 포함한다. 부식제 가스는 챔버(50)로부터 배출되어진 휘발성 알루미늄 염화물을 형성하기 위해 알루미늄과 반응하는 해리된 Cl+이온 및 비해리된 Cl2 +이온을 형성하도록 인가된 전기장내에서 이온화한다. 본 발명이 알루미늄 함유층을 에칭하기 위해 사용되는 염소 함유 부식제 가스를 이용하여 설명되었지만, 부식제 가스는 처리 챔버(50)로부터 배출 가능한 휘발성 종을 형성하기 위해 다중 성분 합금 층(20)과 화학적으로 반응하는 해리된 이온을 형성하도록 해리 가능한 임의의 부식제 가스일 수 있음을 이해해야 한다. 예를 들어, 부식제 가스는 F, NF3, HF, CHF3, COH2F2, CH3F, CF2Cl2, SF6, CFCl3, CF4, 또는 SiCl4와 같은 임의의 할로겐 함유 가스를 포함할 수 있다. 따라서, 본 발명은 본 발명을 예시하는데 사용되는 염소 함유 부식제 가스에 제한되지 않는다. 바람직하게, 부식제 가스는 (i) 표면을 에칭하거나 또는 기판(25)의 표면위에 "천연" 산화층을 에칭하는 단계와 (ii) 미세구조물(30)의 등방성 에칭을 막기 위해 기판(25)상에 에칭된 미세구조물(30)의 측벽상에 패시베이트층을 형성하는데 적절하다. N2, HCl, CHF3, CF4, CH4및 이들의 혼합물과 같은 가스 패시베이터(passivator)는 에칭 속도를 조절하기 위해 처리 가스에 첨가될 수 있다.
적합한 불활성 가스는 아르곤, 크세논, 크립톤 및 네온을 포함한다. 바람직하게, 불활성 가스는 주 부식제의 해리를 증가시키기 위해 제공되는 많은 이온화된 준안정 상태를 형성하기 위해 인가된 전기장내에서 이온화된다. 불활성 가스가 광범위한 여기 에너지를 갖는 것이 바람직하며, 부식제 가스의 해리를 증진시키는 에너지 전달 반응은 여기된 불활성 가스와 주 부식제 가스 사이에서 발생가능하다.
바람직하게, 처리 가스는 약 4 : 1에서 약 1 : 4 가장 바람직하게는 1.5 : 1에서 1 : 1의 용적 유량비(Vr)인 염소와 아르곤으로 이루어진다. 이러한 처리 가스 조정물은 높은 에칭 속도와 기판(25)상에 남아있는 잔류물이 없으며, 3보다 큰 에칭 선택비를 포함한다. 가장 바람직하게 부식제 가스는 약 10 : 1에서 약 1 : 1 범위의 용적비로 Cl2와 BCl3로 이루어진다. 이러한 처리 가스 조성물은 500 내지 1000 nm/분의 에칭 속도를 제공하며, 실질적으로 수직의 미세구조물(30)은 약 85° 내지 90°의 각도의 측벽을 구비하며 2.5 보다 더 큰 에칭 선택비를 갖는다. 전술한 처리 챔버(50)용으로, 염소의 적합한 유량 속도는 약 40 sccm 내지 약 250 sccm이며, 보다 바람직하게는 70 sccm 내지 185 sccm이다. 아르곤의 적합한 유량 속도는 약 10 sccm 내지 약 150 sccm 이며, 보다 바람직하게는 50 sccm 내지 100 sccm이다. BCl3의 적합한 유량 속도는 약 10 sccm 내지 약 150 sccm 이며, 바람직하게 10 sccm 내지 60 sccm이다. 처리 가스의 실제적인 유량 속도는 챔버(50)의 부피에 따라 달라지며, 따라서 본 발명은 전술한 유량 속도의 영역내에 제한되지 않는다.
실시예
다음의 실시예는 반도체 기판(25)의 처리용으로 본 발명의 장치 및 방법을예시하고 있다. 그러나, 이러한 장치 및 방법은 공지되어진 기술에서 명백한 것처럼 다른 응용분야에서 사용이 가능하다. 따라서, 본 발명의 영역은 예시된 실시예에 의해 제한되지 않는다.
실시예 1
실시예 1은 약 8.3의 일정한 동력 비 (Pr)에서 아르곤 대 염소의 유량비의 증가로 인해 플라즈마 내의 이온의 총수의 증가없이 비해리된 또는 분자 염소 이온(Cl2 +)과 관련하여 해리된 염소 이온(Cl+)의 수를 증가시킴을 설명한다. 이러한 실시예에서, 알루미늄, 실리콘 및 구리를 포함하는 다중 성분 합금 총(20)은 다양한 처리 가스 유량비에서 염소와 아르곤을 사용하여 에칭된다. 염소의 유량은 용적 유량비(Vr)가 약 1일 때, 염소의 유량이 75 sccm에서 유지되는 것을 제외하고 이러한 실시예에서 100 sccm으로 유지된다. 이러한 처리 챔버(50)는 10 mTorr의 압력에서 유지된다. 약 1000 와트의 전력 레벨를 갖는 RF 전류가 유도 코일 플라즈마 발생기(55)에 인가되며, 약 1200 와트의 전력 레벨을 갖는 RF 전류는 플라즈마 전극(57a, 57b)에 인가된다
도 3은 (i) 플라즈마 내에서 해리된 Cl+이온과 Cl2 +이온의 비와 (ii) 아르곤 대 염소의 용적 유량비 증가의 함수로서 플라즈마내의 이온의 총수의 변화를 나타내는 그래프이다. 여기서 이온이란 전자의 손실이나 획득으로 인해 순수 전하를 갖는 원자 또는 분자를 의미한다. 약 8.3의 동력비 Pr에서 아르곤 대 염소의 용적유량비의 증가로 인해, 챔버(50)내의 이온 총수의 증가없이 비해리된 염소 이온(Cl2 +)의 수와 관련하여 해리된 염소 이온(Cl+)의 수를 증가시킨다. 아르곤 대 염소의 유량비가 O에서 1 까지 증가함에 따라, 해리된 Cl+이온과 비해리된 Cl2 +이온의 양은 0.4 내지 1 까지 증가하고, 이온의 총수는 실질적으로 4 × 10+6c/s로 일정하게 유지된다.
해리된 Cl+이온의 수와 비해리된 Cl2 +이온의 수는 본원에 참조된 하이덴 분석(1995) " 플라즈마 특성 및 분석용 EQP 질량 분광계"에서 개시된 것처럼 영국, 워링톤, 하이덴 분석으로부터 산업상 이용가능한 EQP 질량 분광계를 사용하여 측정된다. EQP 질량 분광계는 4배의 매스 필터로 정전기 섹터 에너지 분석기를 결합한다. 양극 및 음극 이온 작동용으로 조절이 가능한 펄스 계산 전자 증가기가 이온 탐지용으로 사용된다. 분광계는 플라즈마 이온과 중립자용으로 106인 높은 동력 범위를 제공한다.
실시예 2 와 3
실시예 2와 3은 선택된 용적 유량비(Vr), 전력비(Pr)에 대해, 평균적인 플라즈마 이온 전류 플럭스가 증가하고, 플라즈마 dc 전압은 일정하게 유지되며 플라즈마 이온의 평균 에너지는 낮은 값으로 이동함을 설명한다.
두개의 실시예에서, 기판(25) 상의 알루미늄, 실리콘 및 구리를 포함하는 다중 성분 합금(20)이 에칭된다. 챔버(50)는 약 10 mTorr의 압력에서 유지된다. 약 1000 와트의 전력을 갖는 RF 전류는 유도 코일 플라즈마 발생기(55)에 인가되고, 120 와트의 RF 전류는 플라즈마 전극(57a, 57b)에 인가된다.
실시예 2에서, 처리 가스는 100 sccm의 염소와, 45 sccm의 BCl3와, 13 sccm의 아르곤으로 이루어지며, 챔버(50)는 약 10 mTorr의 압력에서 유지된다. 약 1000 와트의 전력을 갖는 RF 전류는 유도 코일(55)에 인가되며, 100 와트의 RF 전류는 플라즈마 전극(57a,57b)에 인가된다. 도 4는 아르곤 대 염소의 용적 유량비의 증가로 인해 플라즈마의 평균 이온 전류 플럭스가 약 1.8 내지 2.3 mÅ/cm2으로 증가됨을 도시한다.
실시예 3에서, 두 개의 다른 처리 가스 조성물이 사용된다. (도 5의 검은색 원으로 표시되어진) 제 1 가스 조성물은 100sccm 의 염소와 45 sccm의 BCl3로 이루어지며 아르곤 가스는 없다. (도 5의 빈 사각형으로 표시되어진)제 2 가스 조성물은 100 sccm의 염소와 45 sccm의 BCl3와 13 sccm 의 아르곤 가스를 포함한다. 도 5는 두 개의 다른 처리 가스 조성물에 대한 플라즈마 이온 에너지 분포를 도시하며, 선택된 용적 유량비 Vr와, 전기장 플럭스 Ef가 이온 에너지의 중간치를 낮추는 이온 에너지 분포를 갖는 부식제 플라즈마를 야기함을 증명한다.
실시예 4-6
유도 코일 플라즈마 발생기(55)에 인가된 RF 전류 주파수의 중요성은 도 6및 도 7에 나타나 있다. 도 6은 유도 코일에 인가된 RF 전류의 다른 주파수에 대해 아르곤 스퍼터링 속도의 변화를 나타낸다. 도 6은 기판(25) 상의 실리콘 산화층의 아르곤 스퍼터링 속도는 두가지의 방식에서 낮아진다. (i) 첫째, 스퍼터링 속도는 플라즈마원 전력의 모든 레벨에서 13.56 MHz보다 2 MHz에서 더 적다. (ii) 둘째, 2 MHz에서 스퍼터링 속도는 플라즈마원 전력 레벨이 약 750 와트 이상으로 증가할때 감소된다.
도 7은 유도 코일 플라즈마 발생기(55)에 인가된 RF 전류의 다른 주파수에 대해 레지스트의 에칭 속도의 변화를 도시한 것이다. 종래 기술이 1000 와트 이상의 플라즈마 전력 레벨의 증가로 레지스트의 에칭 속도의 유해한 증가를 예상했으나 이러한 레지스트의 에칭 속도는 이러한 주파수에서 플라즈마의 감소된 용량성 결합으로 인해 2 MHz의 낮은 RF 주파수에서는 관찰되지 않는다. 도 7에서, 2 MHz에서 레지스트의 에칭 속도는 플라즈마원 전력 레벨의 넓은 범위내에 13.56 MHz예서의 레지스트이 에칭 속도보다 적을 것이다.
본 발명이 바람직한 실시예에 대해 상세히 기술되어졌다 하더라도 또 다른 실시예가 있을 수 있다. 예를 들어, 염소 함유 부식제 가스 또는 불활성 가스의 작용과 동일한 가스가 또한 사용될 수 있다. 따라서, 첨부된 청구범위는 전술한 바람직한 실시예에 의해 제한되지 않는다.
본 발명은 집적 회로 공정에 있어서, 기판 상에 부식제 잔류물을 형성하지 않고 다중 성분의 합금을 에칭할 수 있다.

Claims (35)

  1. 기판 상에 실질적으로 부식제 잔류물을 형성하지 않고 다중 성분 합금을 에칭하는 방법으로서,
    (a) 플라즈마 발생기와 플라즈마 전극을 포함하는 처리 챔버 내에 기판을 위치시키는 단계와,
    (b) 해리된 Cl+플라즈마 이온과 비해리된 Cl2 +플라즈마 이온을 형성하도록 이온화 가능한 염소 함유 가스 대 상기 염소 함유 가스의 해리를 증가시킬 수 있는 불활성 가스의 용적 유량비 (Vr)를 갖는 처리 가스를 처리 챔버 내부로 유입시키는 단계와, 그리고
    (c) 제 1 전력 레벨의 RF 전류를 상기 플라즈마 발생기에 인가시키고, 제 2 전력 레벨의 RF 전류를 상기 플라즈마 전극에 인가시킴으로써 상기 기판 상에서 동적으로 충돌하는 플라즈마 이온을 형성하도록 상기 처리 가스를 이온화 시키는 단계를 포함하고 있으며,
    상기 염소 함유 가스가 이온화되어 상기 해리된 Cl+플라즈마 이온 대 비해리된 Cl2 +플라즈마 이온의 비가 0.6 : 1 이상으로 형성되도록 상기 제 1 전력 레벨에 대한 상기 제 2 전력 레벨의 전력비 (Pr) 및 상기 용적 유량비 (Vr)를 선택함으로써 상기 기판상에 실질적으로 부식제 잔류물의 형성없이 약 500 nm/분 이상의 에칭 속도로 상기 기판 상의 다중 성분 합금을 에칭하는 방법.
  2. 제 1항에 있어서, 상기 기판 상의 다중 성분 합금은 제 1 및 제 2 성분을 포함하고 있고, 상기 제 1 성분은 상기 제 2 성분보다 실질적으로 상기 플라즈마 이온에 더 반응성을 지니며, 상기 제 1 성분이 상기 제 2 성분의 에칭 속도와 실질적으로 동일한 에칭 속도에서 에칭되도록 상기 용적 유량비(Vr) 및 상기 전력비(Pr)가 선택되는 방법.
  3. 제 1항에 있어서, 상기 다중 성분 합금이 에칭되어서 측벽을 갖는 미세구조물을 형성하며, 상기 미세구조물의 측벽이 상기 기판과 약 85°이상의 각을 형성하도록 상기 용적 유량비 (Vr) 및 상기 전력비(Pr)가 선택되는 방법.
  4. 제 1항에 있어서, 상기 다중 성분 합금은 상부에 레지스트를 포함하고 있고, 상기 다중 성분 합금의 에칭 속도 대 상기 레지스트의 에칭 속도가 약 2.5 이상이 되도록 상기 용적 유량비 (Vr) 및 상기 전력비(Pr)가 선택되는 방법.
  5. 제 1항에 있어서, 상기 염소 함유 가스가 이온화되어 상기 해리된 Cl+플라즈마 이온과 상기 비해리된 Cl2 +플라즈마 이온의 비가 1 : 1 이상으로 형성되는 방법.
  6. 제 1항에 있어서, 상기 기판은 상기 다중 성분 합금 아래에 경계층을 포함하고 있고, 상기 경계층의 에칭 속도가 약 100 nm/분 이하가 되도록 상기 용적 유량비 (Vr) 및 상기 전력비(Pr)가 선택되는 방법.
  7. 제 1항에 있어서, 상기 염소 함유 가스 대 상기 불활성 가스의 상기 용적 유량비 (Vr)는 4 : 1 내지 1 : 4인 방법.
  8. 제 1항에 있어서, 상기 제 1 전력 레벨 대 상기 제 2 전력 레벨의 상기 전력비(Pr)는 약 4:1 이상인 방법.
  9. 제 8항에 있어서, 상기 제 1 전력 레벨 대 제 2 전력 레벨의 상기 전력비 (Pr)는 약 7:1 이상인 방법.
  10. 제 1항에 있어서, 상기 제 1 전력 레벨은 약 750 와트 이상인 방법.
  11. 제 1항에 있어서, 상기 제 2 전력 레벨은 약 500 와트 이하인 방법.
  12. 제 1항에 있어서, 유도 코일로 인가되는 RF 전류의 주파수가 약 6 MHz 이하인 방법.
  13. 제 1항에 있어서, 상기 플라즈마 전극에 인가되는 RF 전류의 주파수가 약 6 MHz 이상인 방법.
  14. 제 1항에 있어서, 상기 염소 함유 가스는 Cl2, HCl, BCl3, HBr, CCl4, SiCl4및 이들의 혼합물로 구성되어 있는 군으로부터 선택되는 방법.
  15. 제 1항에 있어서, 상기 불활성 가스는 아르곤, 크세논, 크립톤, 및 네온으로 구성되어 있는 군으로부터 선택되어지는 방법.
  16. 제 1항에 있어서, 상기 처리 가스는 필수적으로 Cl2, BCl3, 및 아르곤으로 구성되어 있는 방법.
  17. 실질적으로 부식제 잔류물을 형성하지 않고 기판 상의 다중 성분 합금을 플라즈마 에칭하는 방법으로서,
    (a) 직경(D)이 150 mm 내지 304 mm 인 기판 위로 100 mm 내지 175 mm의 높이 (H)에서 정점을 갖는 천장 및, 상기 천장의 아래와 상기 기판의 위로 플라즈마를형성할 수 있는 플라즈마 발생기를 포함하고 있는 처리 챔버의 준 원격작동의 플라즈마 영역 내에 기판을 위치시키는 단계와,
    (b) 해리된 Cl+이온과 비해리된 Cl2 +이온을 형성하도록 이온화 가능한 염소 함유 가스 대 상기 염소 함유 가스의 해리를 증가시킬 수 있는 불활성 가스의 용적 유량비(Vr)를 갖는 처리 가스를 상기 처리 챔버의 내부로 유입시키는 단계와, 그리고
    (c) 해리된 Cl+이온 대 비해리된 Cl2 +이온의 비가 약 0.6 : 1 이상으로 형성되도록 상기 염소 함유 가스가 상기 기판의 실질적으로 바로 위에서 이온화되어 상기 기판 상에 실질적으로 부식제 잔류물을 형성하지 않고 상기 다중 성분 합금을 에칭하도록 상기 준 원격작동의 플라즈마 영역 내에서 상기 처리 가스를 이온화시키는 단계를 포함하고 있는 방법.
  18. 제 17항에 있어서, 상기 (a) 단계에서 상기 처리 챔버의 준 원격작동의 플라즈마 영역이 상기 기판에 인접한 측벽에 의해 둘러싸여져 있으며 약 10,000 cm3이상의 체적을 갖는 방법.
  19. 제 18항에 있어서, 상기 (a) 단계에서 상기 처리 챔버의 준 원격작동의 플라즈마 영역이 상기 기판으로부터 50 내지 150 mm 의 거리에서 위치되어 있는 중심부를 갖는 방법.
  20. 제 18항에 있어서, 상기 (a) 단계에서 상기 처리 챔버의 준 원격작동의 플라즈마 영역이 편평하거나, 원뿔형, 아치형 또는 다중 반경의 돔 형상으로 형성되어 있는 방법.
  21. 제 17항에 있어서, 상기 해리된 Cl+이온 대 비해리된 Cl2 +이온의 비가 약 1 : 1 이상으로 형성되도록 상기 염소 함유 가스가 상기 준 원격작동의 플라즈마 영역 내에서 이온화되는 방법.
  22. 제 17항에 있어서, 염소 함유 가스 대 불활성 가스의 상기 용적 유량비 (Vr)는 1 : 1 내지 10 : 1의 범위인 방법.
  23. 제 17항에 있어서, 상기 준 원격작동의 플라즈마 영역에 인접한 유도 코일을 포함하고 있는 플라즈마 발생기에 약 750 와트 이상의 제 1 전력 레벨를 갖는 RF 전류를 인가함으로써 상기 처리 가스가 상기 준 원격작동의 플라즈마 영역내에서 이온화되는 방법.
  24. 제 23항에 있어서, 상기 준 원격작동의 플라즈마 영역 내의 플라즈마 전극에약 500 와트 이하의 제 2 전력 레벨을 갖는 RF 전류를 인가함으로써 상기 준 원격작동의 플라즈마 영역 내의 상기 해리된 Cl+이온과 상기 비해리된 Cl2 +이온이 상기 기판으로 유인되는 방법.
  25. 제 24항에 있어서, 상기 제 1 전력 레벨 대 상기 제 2 전력 레벨의 전력비 (Pr)가 약 4:1 이상인 방법.
  26. 제 25항에 있어서, 상기 제 1 전력 레벨 대 제 2 전력 레벨의 전력비(Pr)가 약 7:1 이상인 방법.
  27. 제 23항에 있어서, 상기 유도 코일에 인가되는 RF 전류의 주파수는 약 6 MHz 이하인 방법.
  28. 제 24항에 있어서, 상기 플라즈마 전극에 인가된 RF 전류의 주파수는 약 6 MHz 이상인 방법.
  29. 제 17항에 있어서, 상기 염소 함유 가스는 Cl2, HCl, BCl3, HBr, CCl4, SiCl4 및 이들의 혼합물로 구성되어 있는 군으로부터 선택되는 방법.
  30. 제 17항에 있어서, 상기 불활성 가스는 아르곤, 크세논, 크립톤, 네온, 및 이들의 혼합물로 구성되어 있는 군으로부터 선택되는 방법.
  31. 기판상에 실질적으로 부식제 잔류물을 형성하지 않고 다중 성분 합금을 에칭하는 방법으로서,
    (a) 처리 챔버 내에 기판을 위치시키는 단계와,
    (b) 해리된 이온과 비해리된 이온을 형성하도록 전기장 내에서 해리 가능한 부식제 가스 및 상기 부식제 가스의 해리를 증가시킬 수 있는 불활성 가스를 포함하는 처리 가스를 처리 챔버 내부로 유입시키는 단계와, 그리고
    (c) 상기 처리 챔버를 둘러싼 유도 코일에 RF 전류를 유지시킴으로써 플라즈마를 형성하도록 처리 가스에 전기장을 인가하는 단계를 포함하고 있으며,
    상기 기판상에 실질적으로 부식제 잔류물을 형성하지 않고 상승된 에칭 속도로 상기 기판 상의 다중 성분 합금을 에칭하기에 충분히 상승된 상기 해리된 이온 대 상기 비해리된 이온의 비율을 얻을 수 있도록 상기 RF 전류가 약 750 와트 이상의 전력 레벨 및 약 6 MHz 이하의 RF 주파수를 갖는 방법.
  32. 제 31항에 있어서, 부식제 가스 대 불활성 가스의 용적 유량비 (Vr)가 1 : 1 내지 10 : 1인 방법.
  33. 제 31항에 있어서, 상기 전기장은 상기 유도 코일에 1 내지 3 MHz의 주파수에서 RF 전류를 인가함으로써 발생되는 방법.
  34. 제 31항에 있어서, 상기 유도 코일에 인가된 RF 전류의 전력은 약 1000 와트 이상인 방법.
  35. 제 31항에 있어서, 상기 처리 챔버는 상기 플라즈마를 상기 기판으로 유인시키는 플라즈마 전극을 포함하고 있고, 상기 플라즈마 전극에 6 MHz 이상의 주파수에서 RF 전압을 인가하는 단계를 더 포함하는 방법.
KR1019970003470A 1996-02-05 1997-02-05 다중성분의 합금을 에칭하기 위한 플라즈마 처리방법 KR100443118B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/596,960 US5779926A (en) 1994-09-16 1996-02-05 Plasma process for etching multicomponent alloys
US08/596,960 1996-02-05

Publications (2)

Publication Number Publication Date
KR970062080A KR970062080A (ko) 1997-09-12
KR100443118B1 true KR100443118B1 (ko) 2004-11-03

Family

ID=24389459

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970003470A KR100443118B1 (ko) 1996-02-05 1997-02-05 다중성분의 합금을 에칭하기 위한 플라즈마 처리방법

Country Status (5)

Country Link
US (1) US5779926A (ko)
EP (1) EP0788147A3 (ko)
JP (1) JPH1032191A (ko)
KR (1) KR100443118B1 (ko)
TW (1) TW316324B (ko)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6090717A (en) * 1996-03-26 2000-07-18 Lam Research Corporation High density plasma etching of metallization layer using chlorine and nitrogen
US6008139A (en) * 1996-06-17 1999-12-28 Applied Materials Inc. Method of etching polycide structures
US5866483A (en) * 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6087266A (en) * 1997-06-27 2000-07-11 Lam Research Corporation Methods and apparatus for improving microloading while etching a substrate
US6071820A (en) * 1997-09-30 2000-06-06 Siemens Aktiengesellschaft Method for patterning integrated circuit conductors
JPH11176805A (ja) * 1997-11-14 1999-07-02 Siemens Ag 半導体装置の製造方法
US6177337B1 (en) * 1998-01-06 2001-01-23 International Business Machines Corporation Method of reducing metal voids in semiconductor device interconnection
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US6177353B1 (en) * 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
JP2002530844A (ja) * 1998-11-12 2002-09-17 アプライド マテリアルズ インコーポレイテッド 残渣を残さずにアルミニウム及びその合金を異方性エッチングするための方法
US6544429B1 (en) 1999-03-25 2003-04-08 Applied Materials Inc. Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US20020003126A1 (en) * 1999-04-13 2002-01-10 Ajay Kumar Method of etching silicon nitride
US6383938B2 (en) 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
JP2001035808A (ja) * 1999-07-22 2001-02-09 Semiconductor Energy Lab Co Ltd 配線およびその作製方法、この配線を備えた半導体装置、ドライエッチング方法
US6402974B1 (en) 1999-07-27 2002-06-11 Applied Materials, Inc. Method for etching polysilicon to have a smooth surface
US6399507B1 (en) 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6613682B1 (en) 1999-10-21 2003-09-02 Applied Materials Inc. Method for in situ removal of a dielectric antireflective coating during a gate etch process
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7541283B2 (en) * 2002-08-30 2009-06-02 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US7270761B2 (en) * 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
US7262137B2 (en) * 2004-02-18 2007-08-28 Northrop Grumman Corporation Dry etching process for compound semiconductors
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US20060075968A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Leak detector and process gas monitor
TWI333808B (en) * 2005-05-05 2010-11-21 Himax Tech Inc A method of manufacturing a film printed circuit board
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
KR100831572B1 (ko) * 2005-12-29 2008-05-21 동부일렉트로닉스 주식회사 반도체 소자의 배선 형성방법
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US8476125B2 (en) * 2006-12-15 2013-07-02 University Of South Carolina Fabrication technique for high frequency, high power group III nitride electronic devices
US8338273B2 (en) * 2006-12-15 2012-12-25 University Of South Carolina Pulsed selective area lateral epitaxy for growth of III-nitride materials over non-polar and semi-polar substrates
US8076778B2 (en) * 2009-09-30 2011-12-13 Macronix International Co., Ltd. Method for preventing Al-Cu bottom damage using TiN liner
US8796097B2 (en) 2012-04-26 2014-08-05 University Of South Carolina Selectively area regrown III-nitride high electron mobility transistor
JP2015056578A (ja) * 2013-09-13 2015-03-23 株式会社東芝 半導体装置の製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4256534A (en) * 1978-07-31 1981-03-17 Bell Telephone Laboratories, Incorporated Device fabrication by plasma etching
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
GB2171360A (en) * 1985-02-19 1986-08-28 Oerlikon Buehrle Inc Etching aluminum/copper alloy films
JP2673380B2 (ja) * 1990-02-20 1997-11-05 三菱電機株式会社 プラズマエッチングの方法
EP0535540A3 (en) * 1991-10-02 1994-10-19 Siemens Ag Etching process for aluminium-containing coatings
US5350488A (en) * 1992-12-10 1994-09-27 Applied Materials, Inc. Process for etching high copper content aluminum films
US5387556A (en) * 1993-02-24 1995-02-07 Applied Materials, Inc. Etching aluminum and its alloys using HC1, C1-containing etchant and N.sub.2
US5783101A (en) * 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma

Also Published As

Publication number Publication date
EP0788147A2 (en) 1997-08-06
US5779926A (en) 1998-07-14
TW316324B (ko) 1997-09-21
EP0788147A3 (en) 1997-10-29
JPH1032191A (ja) 1998-02-03
KR970062080A (ko) 1997-09-12

Similar Documents

Publication Publication Date Title
KR100443118B1 (ko) 다중성분의 합금을 에칭하기 위한 플라즈마 처리방법
US5866483A (en) Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6008139A (en) Method of etching polycide structures
US5843847A (en) Method for etching dielectric layers with high selectivity and low microloading
KR100274306B1 (ko) 에칭방법
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
EP0702391B1 (en) Etch processing and plasma reactor for performing same
US6514378B1 (en) Method for improving uniformity and reducing etch rate variation of etching polysilicon
EP1070342B1 (en) Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
EP0813233A2 (en) Method of etching dielectric layer using a plasma generated from a mixture of flourohydrocarbon gas, NH3-genrating gas, and carbon-oxygen containing gas
JPH0982687A (ja) 半導体装置の製造方法
JP2007531280A (ja) 最少スカラップ基板の処理方法
EP1010203B1 (en) Method for etching a conductive layer
JPH0779103B2 (ja) エツチング方法
JP2001520458A (ja) フッ素置換炭化水素とアセチレンからなり窒化物に対して高選択性を有する混合物を用いた酸化物エッチング方法
WO2003075334A1 (en) Method for dry etching a semiconductor wafer
US6214720B1 (en) Plasma process enhancement through reduction of gaseous contaminants
JPH0817807A (ja) プラズマ処理方法
JP7493378B2 (ja) エッチング処理方法及び基板処理装置
JP3732079B2 (ja) 試料の表面加工方法
KR100557674B1 (ko) 낮은 플라즈마 소스 파워를 사용하여 높은 식각 선택비를구현하는 플라즈마 식각 방법
Panda et al. Effect of rare gas addition on deep trench silicon etch
Seta et al. Profile Control of SiO2 Trench Etching for Damascene Interconnection Process
JP2021013015A (ja) エッチング処理方法及び基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee