KR102626466B1 - 반도체 구조를 에칭시키기 위한 요오드-함유 화합물 - Google Patents

반도체 구조를 에칭시키기 위한 요오드-함유 화합물 Download PDF

Info

Publication number
KR102626466B1
KR102626466B1 KR1020237016440A KR20237016440A KR102626466B1 KR 102626466 B1 KR102626466 B1 KR 102626466B1 KR 1020237016440 A KR1020237016440 A KR 1020237016440A KR 20237016440 A KR20237016440 A KR 20237016440A KR 102626466 B1 KR102626466 B1 KR 102626466B1
Authority
KR
South Korea
Prior art keywords
iodine
silicon
etching
layer
etch
Prior art date
Application number
KR1020237016440A
Other languages
English (en)
Other versions
KR20230070539A (ko
Inventor
비제이 술라
라훌 굽타
후이 선
벤카테즈와라 알. 팔렘
나단 스포드
파브리지오 말지아니
빈센트 엠. 오마르지
제임스 로이어
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20230070539A publication Critical patent/KR20230070539A/ko
Application granted granted Critical
Publication of KR102626466B1 publication Critical patent/KR102626466B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

규소-함유 필름을 에칭시키는 방법이 개시된다. 본 방법은 기판 상에 규소-함유 필름을 함유한 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 도입하는 단계로서, 요오드-함유 에칭 화합물은 화학식 CaHxFyIz(여기서, a는 1 내지 3이며, x는 0 내지 6이며, y는 1 내지 7이며, z는 1 내지 2이며, a가 1일 때, x+y+z는 4이며, a가 2일 때, x+y+z는 4 또는 6이며, a가 3일 때, x+y+z는 6 또는 8임)를 갖는 단계; 반응 챔버 내에 불활성 가스를 도입하는 단계; 및 플라즈마를 활성화시켜 기판으로부터 규소-함유 필름을 에칭시킬 수 있는 활성화된 요오드-함유 에칭 화합물을 형성하는 단계를 포함한다.

Description

반도체 구조를 에칭시키기 위한 요오드-함유 화합물 {IODINE-CONTAINING COMPOUNDS FOR ETCHING SEMICONDUCTOR STRUCTURES}
관련 출원에 관한 상호 참조문헌
본 출원은 2016년 12월 30일에 출원된 미국출원 제15/396,220호의 이익을 주장하며, 이러한 문헌은 전문이 본원에서 모든 목적을 위해 참고로 포함된다.
기술분야
규소-함유 필름을 에칭시키는 방법이 개시된다. 본 방법은 기판 상에 규소-함유 필름을 함유한 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 도입하는 단계를 포함한다. 요오드-함유 에칭 화합물은 화학식 CaHxFyIz를 가지며, 상기 식에서, a는 1 내지 3이며, x는 0 내지 6이며, y는 1 내지 7이며, z는 1 내지 2이며, a가 1일 때, x+y+z는 4이며, a가 2일 때, x+y+z는 4 또는 6이며, a가 3일 때, x+y+z는 6 또는 8이다. 바람직하게, 요오드-함유 에칭 화합물은 CF2I2, C2F3I, C2H2FI, C2H3F2I, C2H4FI, C2F4I2, C3F5I, C3HF4I, C3H2F3I, C3HF6I, C3H2F5I, C3H3F4I, C3H4F3I, C3HF4I, C3H2F3I, C3H2F5I, C3H3F4I, 및 C3H4F3I; 또는 이들의 조합이다. 불활성 가스는 반응 챔버 내에 도입된다. 플라즈마는 기판으로부터 규소-함유 필름을 에칭시킬 수 있는 활성화된 요오드-함유 에칭 화합물을 형성하기 위해 활성화된다.
반도체 산업의 메모리 적용에서, 플라즈마 에칭은 반도체 기판으로부터, 규소-함유 필름, 예를 들어, 산화규소 또는 질화규소 층을 제거한다. DRAM 및 2D NAND는 예시적인 메모리 적용이다. 3D NAND의 경우에, 다수의 SiO/SiN 또는 SiO/폴리실리콘(p-Si) 층의 스택(stack)의 에칭이 중요하다[예를 들어, US 2011/0180941호(Samsung Electronics Co., Ltd.) 참조]. 마스크와 에칭되는 층들 간의 높은 선택성을 갖는 에칭제가 필수적이다. 또한, 에칭된 구조는 보우잉(bowing) 및 낮은 라인 엣지 거칠기(line edge roughness; LER) 없이 직선 수직 프로파일을 가져야 한다.
전통적인 에치 가스는 옥타플루오로사이클로부탄(cC4F8), 헥사플루오로-1,3-부타디엔(C4F6), CF4, CH2F2, CH3F, 및/또는 CHF3을 포함한다. 선택성 및 폴리머 증착률이 C:F의 비가 증가함(즉, C4F6 > C4F8 > CF4)에 따라 증가한다는 것은 널리 알려져 있다[예를 들어, US6387287호(Hung 등) 참조]. 또한, 다른 가스, 예를 들어, Ar, Kr, 또는 Xe와 같은 불활성 가스가 플라즈마에 첨가되며, 여기에서, 이러한 것은 이온화되고 웨이퍼 표면 쪽으로 가속화되어 표면에 충돌하고 에칭 공정을 지지한다. 이러한 것이 불활성 가스이기 때문에, 이러한 것은 에칭 공정의 화학 반응에 직접적으로 참여하지 않는다.
그러나, 전통적인 에치 화학물질은 적어도, 플라즈마 에칭 공정 동안 측벽 상의 불충분한 내에칭성 폴리머 증착으로 인하여, 더 새로운 적용(예를 들어, 3D NAND)에서 필수적인, 20:1보다 더 큰 종횡비를 갖는, 홀 또는 트렌치와 같은, 피쳐(feature)를 제공하지 못할 수 있다. 측벽 -CxFy- 폴리머(여기서, x는 0.01 내지 1의 범위이며, y는 0.01 내지 4의 범위임)는 에칭되기 쉬울 수 있다. 결과적으로, 에칭된 패턴은 수직이지 않을 수 있으며, 에치 구조는 보우잉, 치수 변화, 패턴 붕괴 및/또는 증가된 거칠기를 나타낼 수 있다.
보우잉은 마스크 층의 측벽 에칭으로부터 형성될 수 있으며, 이는 종종 비정질 탄소(a-C) 물질일 수 있다. a-C 물질은 마스크의 증가된 개구를 야기시키고 보우-유사, 또는 각을 이룬/구부러진, 에치 구조를 초래할 수 있는 플라즈마에서 산소 라디칼에 의해 에칭될 수 있다.
요오드-함유 화합물은 에칭 가스로서 사용되었다. 예를 들어, Chung(미국특허 제9,460,935호)은 특히, 1,1,2,2-테트라플루오로-1-요오도-에탄을 사용하여 발생된 플라즈마 하에서 제1 에칭층 및 제2 에칭층을 에칭시키는 것을 개시한다[또한, 문헌[Karecki et al., Plasma etching of dielectric films with novel iodofluorocarbon chemistries: iodotrifluoroethylene and 1-iodoheptafluoropropane, J. Vac. Sci. Technol. A 16, 755 (1998)]; JP2006/108484호(Ulvac); TWI343601호(Ulvac) 참조].
보우잉을 최소화하고 현재의 적용(예를 들어, 접촉 에치 또는 3D NAND)을 위해 요구되는 고종횡비(즉, 최대 200:1)를 달성하는 것이 중요하다. 추가적으로, 오늘날 에칭은 포토레지스트 마스크에 대한 선택성으로 제한되지 않는다. 동일하게, 다른 물질, 예를 들어, a-C, SiN, p-Si, SiC 또는 다른 형태의 SiaCbOcHdNe 물질(여기서, a는 0 초과이며; b, c, d 및 e는 0 이상임) 간의 높은 선택성을 갖는 것이 중요하다.
이에 따라, 광범위한 공정 조건에 대한 선택성 및 고종횡비를 유지하는 플라즈마 에칭 적용에서 사용하는 데 적합한 에치 가스 조성물이 여전히 요구된다.
규소-함유 필름을 플라즈마 에칭시키는 방법이 개시된다. 본 방법은 기판 상에 규소-함유 필름을 함유한 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 도입하는 단계로서, 요오드-함유 에칭 화합물은 화학식 CaHxFyIz(여기서, a는 1 내지 3이며, x는 0 내지 6이며, y는 1 내지 7이며, z는 1 내지 2이며, a가 1일 때, x+y+z는 4이며, a가 2일 때, x+y+z는 4 또는 6이며, a가 3일 때, x+y+z는 6 또는 8임)를 갖는 단계; 반응 챔버 내에 불활성 가스를 도입하는 단계; 및 기판으로부터 규소-함유 필름을 에칭시킬 수 있는 활성화된 요오드-함유 에칭 화합물을 형성하기 위해 플라즈마를 활성화시키는 단계를 포함한다. 개시된 방법은 하기 양태들 중 하나 이상을 포함할 수 있다:
· 요오드-함유 에칭 화합물은 화학식 CHxFyIz(여기서, x는 0 내지 2이며, y는 1 내지 3이며, z는 1 내지 2이며, x+y+z는 4임)를 가짐;
· 요오드-함유 에칭 화합물은 CF3I임;
· 요오드-함유 에칭 화합물은 CF2I2임;
· 요오드-함유 에칭 화합물은 화학식 CHxFyIz(여기서, x는 1 내지 2이며, y는 1 내지 2이며, z는 1 내지 2이며, x+y+z는 4임)를 가짐;
· 요오드-함유 에칭 화합물은 CHF2I임;
· 요오드-함유 에칭 화합물은 CH2FI임;
· 요오드-함유 에칭 화합물은 CHFI2임;
· 요오드-함유 에칭 화합물은 화학식 C2HxFyIz(여기서, x는 0 내지 2이며, y는 1 내지 3이며, z는 1 내지 2이며, x+y+z는 4임)를 가짐;
· 요오드-함유 에칭 화합물은 C2F3I이고, 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 화학식 C2HxFyIz(여기서, x는 1 내지 2이며, y는 1 내지 2이며, z는 1 내지 2이며, x+y+z는 4임)를 가짐;
· 요오드-함유 에칭 화합물은 C2HF2I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2HF2I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H2FI이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H2FI이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 화학식 C2HxFyIz(여기서, x는 0 내지 4이며, y는 1 내지 5이며, z는 1 내지 2이며, x+y+z는 6임)를 가짐;
· 요오드-함유 에칭 화합물은 C2H5I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 화학식 C2HxFyIz(여기서, x는 1 내지 4이며, y는 1 내지 4이며, z는 1 내지 2이며, x+y+z는 6임)를 가짐;
· 요오드-함유 에칭 화합물은 C2HF4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2HF4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H3F2I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H3F2I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H4FI이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H4FI이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2F4I2이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2F4I2이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 화학식 C3HxFyIz(여기서, x는 0 내지 4이며, y는 1 내지 5이며, z는 1 내지 2이며, x+y+z는 6임)를 가짐;
· 요오드-함유 에칭 화합물은 C3F5I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 화학식 C3HxFyIz(여기서, x는 1 내지 4이며, y는 1 내지 4이며, z는 1 내지 2이며, x+y+z는 6임)를 가짐;
· 요오드-함유 에칭 화합물은 C3HF4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3HF4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3HF4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 화학식 C3HxFyIz(여기서, x는 0 내지 6이며, y는 1 내지 7이며, z는 1 내지 2이며, x+y+z는 8임)를 가짐;
· 요오드-함유 에칭 화합물은 C3F7I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3F7I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 화학식 C3HxFyIz(여기서, x는 1 내지 6이며, y는 1 내지 6이며, z는 1 내지 2이며, x+y+z는 8임)를 가짐;
· 요오드-함유 에칭 화합물은 C3HF6I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3HF6I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F5I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F5I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F5I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F5I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F5I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H4F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H4F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H4F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H4F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H4F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H4F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H4F3I이고 하기 구조를 가짐:
· 활성화된 요오드-함유 에칭 화합물은 휘발성 부산물을 형성하기 위해 규소-함유 필름과 반응함;
· 휘발성 부산물은 반응 챔버로부터 제거됨;
· 불활성 가스는 He, Ar, Xe, Kr, 및 Ne로 이루어진 군으로부터 선택됨;
· 불활성 가스는 Ar임;
· 혼합물을 형성하기 위해 반응 챔버에 도입하기 전에 요오드-함유 에칭 화합물의 증기와 불활성 가스를 혼합함;
· 불활성 가스와 별도로 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 도입함;
· 반응 챔버 내에 불활성 가스를 연속적으로 도입하고, 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 펄스식으로 도입함;
· 불활성 가스는 반응 챔버 내에 도입된 요오드-함유 에칭 화합물의 증기 및 불활성 가스의 총 부피의 대략 0.01% v/v 내지 대략 99.9% v/v를 차지함;
· 불활성 가스는 반응 챔버 내에 도입된 요오드-함유 에칭 화합물의 증기 및 불활성 가스의 총 부피의 대략 90% v/v 내지 대략 99% v/v를 차지함;
· 반응 챔버 내에 산화제를 도입함;
· 반응 챔버 내에 산화제를 도입하지 않음;
· 산화제는 O2, CO, CO2, NO, N2O, 및 NO2로 이루어진 군으로부터 선택됨;
· 산화제는 O2임;
· 반응 챔버 내에 도입하기 전에 요오드-함유 에칭 화합물의 증기와 산화제를 혼합함;
· 산화제와 별도로 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 도입함;
· 반응 챔버 내에 산화제를 연속적으로 도입하고, 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 펄스식으로 도입함;
· 산화제는 반응 챔버 내에 도입된 요오드-함유 에칭 화합물의 증기 및 산화제의 총 부피의 대략 0.01% v/v 내지 대략 99.9% v/v를 차지함;
· 산화제는 반응 챔버 내에 도입된 요오드-함유 에칭 화합물의 증기 및 산화제의 총 부피의 대략 0.01% v/v 내지 대략 10% v/v를 차지함;
· 규소-함유 필름은 산화규소, 질화규소, 폴리실리콘, 결정질 규소, SiON, SiOCH, SiaObCcNdHe(여기서, a는 0을 초과하며, b, c, d 및 e는 0 이상임) 또는 이들의 조합의 층을 포함함;
· 규소-함유 필름은 산소 원자, 질소 원자, 탄소 원자, 수소 원자 또는 이들의 조합을 포함함;
· 규소-함유 필름은 탄화규소를 포함함;
· 규소-함유 필름은 a-C 층으로부터 선택적으로 에칭됨;
· 규소-함유 필름은 포토레지스트 층으로부터 선택적으로 에칭됨;
· 규소-함유 필름은 질화규소 층으로부터 선택적으로 에칭됨;
· 규소-함유 필름은 폴리실리콘 층으로부터 선택적으로 에칭됨;
· 규소-함유 필름은 결정질 규소 층으로부터 선택적으로 에칭됨;
· 규소-함유 필름은 금속 접촉 층으로부터 선택적으로 에칭됨;
· 규소-함유 필름은 질화티탄 층으로부터 선택적으로 에칭됨;
· 규소-함유 필름은 탄탈 층으로부터 선택적으로 에칭됨;
· 규소-함유 필름은 산화규소 층임;
· a-C 층으로부터 산화규소 층을 선택적으로 에칭시킴;
· 포토레지스트 층으로부터 산화규소 층을 선택적으로 에칭시킴;
· p-Si 층으로부터 산화규소 층을 선택적으로 에칭시킴;
· 결정질 규소 층으로부터 산화규소 층을 선택적으로 에칭시킴;
· 금속 접촉 층으로부터 산화규소 층을 선택적으로 에칭시킴;
· SiN 층으로부터 산화규소 층을 선택적으로 에칭시킴;
· 규소-함유 필름은 질화규소 층임;
· a-C 층으로부터 질화규소 층을 선택적으로 에칭시킴;
· 패턴화된 포토레지스트 층으로부터 질화규소 층을 선택적으로 에칭시킴;
· p-Si 층으로부터 질화규소 층을 선택적으로 에칭시킴;
· 결정질 규소 층으로부터 질화규소 층을 선택적으로 에칭시킴;
· 금속 접촉 층으로부터 질화규소 층을 선택적으로 에칭시킴;
· 산화규소 층으로부터 질화규소 층을 선택적으로 에칭시킴;
· 규소-함유 필름은 SiON 층임;
· 포토레지스트 층으로부터 SiON 층을 선택적으로 에칭시킴;
· 규소-함유 필름은 SiCOH 층임;
· 질화티탄 층으로부터 SiCOH 층을 선택적으로 에칭시킴;
· a-C 층으로부터 SiCOH 층을 선택적으로 에칭시킴;
· 포토레지스트 층으로부터 SiCOH 층을 선택적으로 에칭시킴;
· 규소-함유 필름은 교대하는 산화규소 층 및 질화규소 층임;
· 산화규소 층 및 질화규소 층 둘 모두를 유사한 에칭률로 에칭시킴;
· 규소 층으로부터 산화규소 층 및 질화규소 층 둘 모두를 선택적으로 에칭시킴;
· p-Si 층으로부터 산화규소 층 및 질화규소 층 둘 모두를 선택적으로 에칭시킴;
·결정질 규소 층으로부터 산화규소 층 및 질화규소 층 둘 모두를 선택적으로 에칭시킴;
· a-C 층으로부터 산화규소 층 및 질화규소 층 둘 모두를 선택적으로 에칭시킴;
· 규소-함유 필름은 교대하는 산화규소 층 및 p-Si 층임;
· 산화규소 층 및 p-Si 층 둘 모두를 유사한 에칭률로 에칭시킴;
· a-C 층으로부터 산화규소 층 및 p-Si 층 둘 모두를 선택적으로 에칭시킴;
· 질화규소 층으로부터 산화규소 층 및 p-Si 층 둘 모두를 선택적으로 에칭시킴;
· 규소-함유 필름에서 대략 10:1 내지 대략 200:1의 종횡비를 갖는 애퍼처(aperture)를 형성함;
· 게이트 트렌치(gate trench)를 형성함;
· 계단 콘택트(staircase contact)를 형성함;
· 채널 홀(channel hole)을 형성함;
· 대략 60:1 내지 대략 100:1의 종횡비를 갖는 채널 홀을 형성함;
· 대략 5 nm 내지 대략 100 nm 범위의 직경을 갖는 채널 홀을 형성함;
· 대략 10 nm 내지 대략 50 nm 범위의 직경을 갖는 채널 홀을 형성함;
· 반응 챔버 내에 에치 가스를 도입함으로서 선택성을 개선시킴;
· 에치 가스는 cC4F8, cC5F8, C4F6, CF4, CH3F, CF3H, CH2F2, COS, F-C≡N, CS2, SO2, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐(트랜스-C4H2F6), 시스-1,1,1,4,4,4-헥사플루오로-2-부텐(시스-C4H2F6), 헥사플루오로이소부텐(C4H2F6), 트랜스-1,1,2,2,3,4-헥사플루오로사이클로부탄(트랜스-C4H2F6), 1,1,2,2,3-펜타플루오로사이클로부탄(C4H3F5), 1,1,2,2-테트라플루오로사이클로부탄(C4H4F4), 또는 시스-1,1,2,2,3,4-헥사플루오로사이클로부탄(시스-C4H2F6)으로 이루어진 군으로부터 선택됨;
· 에치 가스는 cC5F8임;
· 에치 가스는 cC4F8임;
· 에치 가스는 C4F6임;
· 반응 챔버에 도입하기 전에 요오드-함유 에칭 화합물의 증기와 에치 가스를 혼합함;
· 에치 가스와 별도로 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 도입함;
· 반응 챔버 내에 대략 0.01% v/v 내지 대략 99.99% v/v의 에치 가스를 도입함;
· RF 전력을 인가함으로써 플라즈마를 활성화시킴;
· 대략 25 W 내지 대략 10,000 W 범위의 RF 전력에 의해 플라즈마를 활성화시킴;
· 반응 챔버는 대략 1 mTorr 내지 대략 10 Torr 범위의 압력을 가짐;
· 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 대략 0.1 sccm 내지 대략 1 slm 범위의 유량으로 도입함;
· 기판을 대략 -196℃ 내지 대략 500℃ 범위의 온도에서 유지시킴;
· 기판을 대략 -120℃ 내지 대략 300℃ 범위의 온도에서 유지시킴;
· 기판을 대략 -100℃ 내지 대략 50℃ 범위의 온도에서 유지시킴;
· 기판은 대략 -10℃ 내지 대략 40℃ 범위의 온도에서 유지시킴;
· 사중극자 질량 분석계, 광학 방사 분광계, FTIR, 또는 다른 라디칼/이온 측정 툴에 의해 활성화된 요오드-함유 에칭 화합물을 측정함.
또한, 화학식 CaHxFyIz(여기서, a는 1 내지 3이며, x는 0 내지 6이며, y는 1 내지 7이며, z는 1 내지 2이며, a가 1일 때, x+y+z는 4이며, a가 2일 때, x+y+z는 4 또는 6이며, a가 3일 때, x+y+z는 6 또는 8임)를 갖는 요오드-함유 에칭 화합물이 개시된다. 개시된 요오드-함유 에칭 화합물은 하기 양태들 중 하나 이상을 포함한다:
· 요오드-함유 에칭 화합물은 화학식 CHxFyIz(여기서, x는 0 내지 2이며, y는 1 내지 3이며, z는 1 내지 2이며, x+y+z는 4임)를 가짐;
· 요오드-함유 에칭 화합물은 CF3I임;
· 요오드-함유 에칭 화합물은 CF2I2임;
· 요오드-함유 에칭 화합물은 화학식 CHxFyIz(여기서, x는 1 내지 2이며, y는 1 내지 2이며, z는 1 내지 2이며, x+y+z는 4임)를 가짐;
· 요오드-함유 에칭 화합물은 CHF2I임;
· 요오드-함유 에칭 화합물은 CH2FI임;
· 요오드-함유 에칭 화합물은 CHFI2임;
· 요오드-함유 에칭 화합물은 화학식 C2HxFyIz(여기서, x는 0 내지 2이며, y는 1 내지 3이며, z는 1 내지 2이며, x+y+z는 4임)를 가짐;
· 요오드-함유 에칭 화합물은 C2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 화학식 C2HxFyIz(여기서, x는 1 내지 2이며, y는 1 내지 2이며, z는 1 내지 2이며, x+y+z는 4임)를 가짐;
· 요오드-함유 에칭 화합물은 C2HF2I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2HF2I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H2FI이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H2FI이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 화학식 C2HxFyIz(여기서, x는 0 내지 4이며, y는 1 내지 5이며, z는 1 내지 2이며, x+y+z는 6임)를 가짐;
· 요오드-함유 에칭 화합물은 C2H5I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 화학식 C2HxFyIz(여기서, x는 1 내지 4이며, y는 1 내지 4이며, z는 1 내지 2이며, x+y+z는 6임)를 가짐;
· 요오드-함유 에칭 화합물은 C2HF4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2HF4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H3F2I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H3F2I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H4FI이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2H4FI이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2F4I2이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C2F4I2이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 화학식 C3HxFyIz(여기서, x는 0 내지 4이며, y는 1 내지 5이며, z는 1 내지 2이며, x+y+z는 6임)를 가짐;
· 요오드-함유 에칭 화합물은 C3F5I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 화학식 C3HxFyIz(여기서, x는 1 내지 4이며, y는 1 내지 4이며, z는 1 내지 2이며, x+y+z는 6임)를 가짐;
· 요오드-함유 에칭 화합물은 C3HF4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3HF4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3HF4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 화학식 C3HxFyIz(여기서, x는 0 내지 6이며, y는 1 내지 7이며, z는 1 내지 2이며, x+y+z는 8임)을 가짐;
· 요오드-함유 에칭 화합물은 C3F7I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3F7I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 화학식 C3HxFyIz(여기서, x는 1 내지 6이며, y는 1 내지 6이며, z는 1 내지 2이며, x+y+z는 8임)를 가짐;
· 요오드-함유 에칭 화합물은 C3HF6I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3HF6I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F5I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F5I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F5I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F5I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H2F5I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H3F4I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H4F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H4F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H4F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H4F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H4F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H4F3I이고 하기 구조를 가짐:
· 요오드-함유 에칭 화합물은 C3H4F3I이고 하기 구조를 가짐:
· 대략 95 부피% 내지 대략 99.999 부피% 범위의 순도를 가짐;
· 대략 10 ppt(part per trillion) 내지 대략 5 부피%의 미량 가스 불순물을 포함함;
· 미량 가스 불순물은 물을 포함함;
· 미량 가스 불순물은 CO2를 포함함;
· 미량 가스 불순물은 N2를 포함함; 및
· 요오드-함유 에칭 화합물은 20 ppmw 미만의 물 함량을 가짐.
표기법 및 명칭
하기 상세한 설명 및 청구항들은 당해 분야에서 일반적으로 널리 알려진, 다수의 약어, 기호, 및 용어를 사용한다. 편의를 위하여, 정의에는 통상적으로 각 두문자어(acronym)의 첫번째 예가 제공되지만, 표 1은 이의 개개 정의와 함께 사용되는 약어, 기호, 및 용어의 리스트를 제공한다.
[표 1]
본원에서 사용되는 용어 "에칭시키다(etch)" 또는 "에칭(etching)"은 에칭 가스와 기판 사이에 이온 충격, 원격 플라즈마, 또는 화학적 증기 증착을 통해 물질을 제거하기 위해 플라즈마를 사용하는 것을 의미한다. 에치 공정은 이방성 또는 등방성일 수 있다. 예를 들어, 플라즈마 건식 에칭 공정은 이방성 에칭을 형성하며; 화학적 에칭 또는 습식 에칭 공정은 등방성 에칭을 형성한다. 에칭 공정은 기판에 애퍼처, 예를 들어, 비아, 트렌치, 채널 홀, 게이트 트렌치, 계단 콘택트, 커패시터 홀, 접촉 홀 등을 형성하기 위해 이용될 수 있다.
용어 "패턴 에치(pattern etch)" 또는 "패턴화된 에치(patterned etch)"는 규소-함유 필름의 스택 상에 패턴화된 마스크 층과 같은 비-평면 구조를 에칭시키는 것을 지칭한다.
용어 "마스크(mask)"는 에칭에 저항하는 층을 지칭한다. 마스크 층(mask layer)은 에칭될 층 위에 위치될 수 있다.
용어 "에치 정지 층(etch stop layer)"는 아래 층들을 보호하는 에칭될 층 아래의 층을 지칭한다.
용어 "소자 채널(device channel)"은 실제 소자의 일부인 층을 지칭하며, 이에 대한 임의의 손상은 소자 성능에 영향을 미칠 것이다.
용어 "종횡비(aspect ratio)"는 트렌치의 폭(또는 비아의 직경)에 대한 트렌치(또는 비아)의 높이의 비율을 지칭한다.
용어 "선택성(selectivity)"은 다른 물질의 에칭률에 대한 하나의 물질의 에칭률의 비율을 의미한다. 용어 "선택적 에치(selective etch)" 또는 "선택적으로 에칭시키다(selectively etch)"는 다른 물질보다 하나의 물질을 더 많이 에칭시키거나, 다시 말해서, 2개의 물질들 간에 1:1 초과 또는 미만의 에치 선택성을 갖는 것을 의미한다.
R 기를 기술하는 문맥에서 사용될 때 용어 "독립적으로(independently)"는 대상 R 기가 동일하거나 상이한 아래첨자 또는 위첨자를 지닌 다른 R 기에 대해 독립적으로 선택될 뿐만 아니라, 동일한 R 기의 임의의 추가적인 종에 대해 독립적으로 선택되는 것을 의미하는 것으로 이해되어야 한다. 예를 들어, 화학식 MR1 x(NR2R3)(4-x)(여기서, M은 원자이며, x는 2 또는 3임)에서, 2개 또는 3개의 R1 기는 서로 동일하거나 R2 또는 R3과 동일할 수 있지만, 반드시 그러할 필요는 없다. 또한, 달리 상세하게 기술하지 않는 한, R 기의 값이 상이한 화학식에서 사용될 때 서로 독립적인 것으로 이해되어야 한다.
본원에서, 용어 "필름(film)" 및 "층(layer)"이 서로 교환 가능하게 사용될 수 있다는 것에 주목한다. 필름이 층에 해당하거나 이와 관련될 수 있으며, 층이 필름을 지칭할 수 있는 것으로 이해된다. 또한, 당업자는, 본원에서 사용되는 용어 "필름" 또는 "층"이 표면 상에 놓여지거나 표면 위에 펼쳐진 일부 물질의 두께를 지칭하며, 표면이 전체 웨이퍼 정도로 크거나 트렌치 또는 라인 정도로 작은 범위일 수 있다는 것을 인지할 것이다.
본원에서, 용어 "에칭 화합물" 및 "에칭 가스"가 서로 교환 가능하게 사용될 수 있다는 것에 주목한다. 에칭 화합물이 에칭 가스에 해당하거나 이와 관련될 수 있으며, 에칭 가스가 에칭 화합물을 지칭할 수 있는 것으로 이해된다.
본원에서 사용되는 약어 "2D"는 평면 기판 상의 2차원 게이트 구조를 지칭하며; 약어 "3D"는 3차원 또는 수직 게이트 구조를 지칭하며, 여기서, 게이트 구조는 수직 방향으로 적층된다.
원소 주기율표로부터의 원소의 표준 약어가 본원에서 사용된다. 원소가 이러한 약어에 의해 지칭될 수 있는 것으로 이해되어야 한다(예를 들어, Si는 규소를 지칭하며, N은 질소를 지칭하며, O는 산소를 지칭하며, C는 탄소를 지칭하며, H는 수소를 지칭하며, F는 불소를 지칭하는 등).
화학물질 식별 서비스(Chemical Abstract Service)에 의해 지정된 고유한 CAS 등록 번호(즉, "CAS")는 개시된 특정 분자를 더 잘 식별하는 데 도움을 주기 위해 제공된다.
규소-함유 필름, 예를 들어, 질화규소 및 산화규소가 이의 적절한 화학양론을 언급하지 않고 명세서 및 청구범위 전반에 걸쳐서 나열되어 있다는 것을 주목한다. 규소-함유 필름은 순수한 규소(Si) 층, 예를 들어, 결정질 Si, 폴리-실리콘(p-Si 또는 다결정질 Si), 또는 비정질 규소; 질화규소(SikNl) 층; 또는 산화규소(SinOm) 층; 또는 이들의 혼합물을 포함할 수 있으며, 여기서, k, l, m, 및 n은 0.1 내지 6의 범위이다(경계값 포함함). 바람직하게, 질화규소는 SikNl이며, 여기서, k 및 l은 각각 0.5 내지 1.5의 범위이다. 더욱 바람직하게, 질화규소는 Si3N4이다. 바람직하게, 산화규소는 SinOm이며, 여기서, n은 0.5 내지 1.5 범위이며, m은 1.5 내지 3.5 범위이다. 더욱 바람직하게, 산화규소는 SiO2이다. 본원에서, 하기 설명에서 SiN 및 SiO는 각각 SikNl 및 SinOm 함유 층을 나타내기 위해 사용된다. 규소-함유 필름은 또한, 산화규소 기반 유전 물질, 예를 들어, 유기 기반 또는 산화규소 기반 저-k 유전 물질, 예를 들어, Applied Materials, Inc.에 의한 화학식 SiOCH를 갖는 Black Diamond II 또는 III일 수 있다. 규소-함유 필름은 또한, SiaObNc를 포함할 수 있으며, 여기서, a, b, c는 0.1 내지 6의 범위이다. 규소-함유 필름은 또한, 도펀트, 예를 들어, B, C, P, As 및/또는 Ge를 포함할 수 있다.
본 발명의 특성 및 목적을 더욱 잘 이해하기 위하여, 첨부된 도면과 관련하여 기술된 하기 상세한 설명이 참조되어야 하며, 이러한 도면에서, 유사한 구성요소에는 동일하거나 유사한 참조 번호가 제공된다.
도 1a는 3D NAND 스택에서 예시적인 층들의 측단면도이다.
도 1b는 3D NAND 스택의 에칭 동안 측벽 상에 증착된 폴리머를 나타낸 3D NAND 스택에서의 예시적인 층들의 측단면도이다.
도 1c는 3D NAND 스택의 교대하는 SiO/SiN 층 에칭 동안 발생된 입자를 나타낸 3D NAND 스택에서의 예시적인 층들의 측단면도이다.
도 1d는 3D NAND 스택에서 측벽 상에 노출된 SiN의 선택적 에칭을 나타낸 3D NAND 스택에서의 예시적인 층들의 측단면도이다.
도 2는 DRAM 스택의 예시적인 층들의 측단면도이다.
도 3a는 트랜지스터 구조를 형성하기 위해 통상적인 트랜지스터 소자 영역을 둘러싸는 SiO 절연층 위의 포토레지스트 패턴을 나타낸 예시적인 층들의 측단면도이다.
도 3b는 SiO 절연층을 에칭시킨 후 도 3a의 예시적인 층들의 측단면도이다.
도 4는 CF3I의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타낸 그래프이다.
도 5는 C2F3I의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타낸 그래프이다.
도 6은 C2F5I의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타낸 그래프이다.
도 7은 C2HF4I의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타낸 그래프이다.
도 8은 C3F5I의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타낸 그래프이다.
도 9는 C3F7I의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타낸 그래프이다.
도 10은 C2F6의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타낸 그래프이다.
도 11은 C3F8의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타낸 그래프이다.
도 12는 예시적인 증착 및 에칭 시험에서 적용된 예시적인 반응기 시스템의 측단면도이다.
도 13은 CF3I 및 O2로의 SiO, SiN, p-Si 및 a-C의 에칭률을 나타낸 그래프이다.
도 14는 C2F3I 및 O2로의 SiO, SiN, p-Si 및 a-C의 에칭률을 나타낸 그래프이다.
도 15는 C2F5I 및 O2로의 SiO, SiN, p-Si 및 a-C의 에칭률을 나타낸 그래프이다.
도 16은 C2HF4I 및 O2로의 SiO, SiN, p-Si 및 a-C의 에칭률을 나타낸 그래프이다.
도 17은 C3F5I 및 O2로의 SiO, SiN, p-Si 및 a-C의 에칭률을 나타낸 그래프이다.
도 18은 C3F7I 및 O2로의 SiO, SiN, p-Si 및 a-C의 에칭률을 나타낸 그래프이다.
도 19는 C2F3I 및 CF4로의 SiO, SiN, p-Si 및 a-C의 에칭률을 나타낸 그래프이다.
바람직한 구현예의 설명
규소-함유 필름에서, 채널 홀, 게이트 트렌치, 계단 콘택트, 커패시터 홀, 접촉 홀 등과 같은 반도체 구조를 플라즈마 에칭시키는 방법이 개시된다. 개시된 방법은 i) 기판 상에 규소-함유 필름을 함유한 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 도입하는 단계로서, 요오드-함유 에칭 화합물은 화학식 CaHxFyIz(여기서, a는 1 내지 3이며, x는 0 내지 6이며, y는 1 내지 7이며, z는 1 내지 2이며, a가 1일 때, x+y+z는 4이며, a가 2일 때, x+y+z는 4 또는 6이며, a가 3일 때, x+y+z는 6 또는 8임)를 갖는 단계; ii) 반응 챔버 내에 불활성 가스를 도입하는 단계; 및 iii) 플라즈마를 활성화시켜 기판으로부터 규소-함유 필름을 에칭시킬 수 있는 활성화된 요오드-함유 에칭 화합물을 형성하는 단계를 포함한다.
하기 실시예에 나타내는 바와 같이, 개시된 요오드-함유 에칭 화합물의 요오다이드 이온은 낮은 에너지(eV)(즉, 20 eV 미만)에서 화합물로부터 해리된다. 결과적으로, 본 출원인은, 개시된 에칭 화합물이 더 낮은 플라즈마 에너지에서 수행될 수 있기 때문에, 이러한 것이 기저 기판에 대한 적은 손상을 형성시키는 건식 플라즈마 에치 공정에서 사용될 수 있는 것으로 여긴다. 예를 들어, 개시된 요오드-함유 에칭 화합물은, 더 낮은 플라즈마 에너지가 에치 부위를 둘러싸는 다공성의 저-k 물질에 대한 더 적은 손상을 형성시킬 수 있기 때문에, 손상이 특히 우려되는 저-k 에치 공정을 위해 특히 적합할 수 있다. 개시된 요오드-함유 에칭 화합물은 또한, 임계 치수의 손실을 방지하고, 저-k 표면 거칠기를 감소시킬 수 있다. 또한, 2개의 요오드 원자를 갖는 분자는 이점들을 향상시킬 수 있지만, 이러한 것은 요오드 원자의 크기로 인해 휘발성을 상실한다.
요오드(10.5 eV)는 불소(17.4 eV), 및 불활성 가스, 즉 Ar(15.8 eV), Xe(12.1 eV), 및 Kr(14 eV)보다 낮은 이온화 임계값을 갖는다. 이에 따라, 요오드는 불소보다 플라즈마에 의해 더욱 용이하게 이온화될 수 있다. 요오드는 또한, 플라즈마에서 불활성 가스에 대해 유사하게 거동하고, 웨이퍼 쪽으로 가속화될 수 있다. 불소의 이온화 임계값은 이를 중성 종으로 유지시키는 역할을 한다. 결과적으로, 불소는 통상적으로, 화학 반응을 통해 에칭 공정에 참여한다. 요오드는 불소보다 낮은 화학 반응성을 나타내고, 이온화되며, 플라즈마 에치 공정 동안 표면에 충돌한다. C-I에 대한 결합 에너지는 또한, C-F에 대한 결합 에너지보다 낮으며, 이에 의해, C-F 결합과 비교하여 플라즈마에서 C-I 결합을 더욱 용이하게 파괴하게 한다.
하기 실시예에서 나타내는 바와 같이, 요오다이드 이온은 낮은 eV(즉, 20 eV 미만)에서 개시된 에칭 화합물로부터 해리된다. 얻어진 요오다이드 이온은 너무 커서 좁은 폭 또는 직경을 갖는 피쳐에 진입하지 못할 수 있다. 그러나, 마스크 피쳐 내로의 요오다이드 이온 주입은 가능성이 있다. 이러한 주입은 마스크 피쳐를 강화시키는 데 도움을 줄 수 있고, 에칭 공정 동안 이의 형상을 유지시키는 데 도움을 줄 수 있다.
개시된 요오드-함유 에칭 화합물은 화학식 CaHxFyIz를 가지며, 여기서, a는 1 내지 3이며, x는 0 내지 6이며, y는 1 내지 7이며, z는 1 내지 2이며, a가 1일 때, x+y+z는 4이며, a가 2일 때, x+y+z는 4 또는 6이며, a가 3일 때, x+y+z는 6 또는 8이다. 예시적인 요오드-함유 에칭 화합물은 표 2에 나열되어 있다. 이러한 분자들은 상업적으로 입수 가능하거나, 당해 분야에 공지된 방법에 의해 합성될 수 있다. 이의 구조 화학식, CAS 번호 및 비등점은 표 2에 포함되어 있다.
[표 2]
상업적으로 입수 가능한 에칭 화합물
Figure 112023053622524-pat00101
Figure 112023053622524-pat00103
Figure 112023053622524-pat00104
Figure 112023053622524-pat00105
Figure 112023053622524-pat00106
Figure 112023053622524-pat00107
Figure 112023053622524-pat00108
a가 1일 때, 개시된 요오드-함유 에칭 화합물은 화학식 CHxFyIz를 가지며, 여기서, x는 0 내지 2이며, y는 1 내지 2이며, z는 1 내지 2이며, x+y+z는 4이다. 예시적인 화합물은 CF3I, CF2I2, CHF2I, CH2FI, 및 CHFI2, 바람직하게, CF2I2를 포함한다. 본 출원인은, 개시된 요오드-함유 에칭 화합물이 적어도 하나의 H를 포함할 때 마스크 층에 대한 높은 선택성을 유지하면서 SiN의 에칭률이 향상될 수 있을 것으로 여긴다. a가 1일 때 하나의 H를 갖는 요오드-함유 에칭 화합물은 화학식 CHxFyIz를 가지며, 여기서, x는 1 내지 2이며, y는 1 내지 2이며, z는 1 내지 2이다. 예시적인 화합물은 CHF2I, CH2FI, 및 CHFI2를 포함한다.
본 출원인은, 2 내지 3개의 탄소 원자를 갖는 분자가 C1 분자보다 에칭 공정 동안 더 양호한 측벽 보호를 제공할 수 있는 것으로 여긴다.
측벽 적용을 위하여, 본 출원인이 C3 분자가 더 두꺼운 패시베이션층을 형성할 것으로 여기기 때문에 C3 분자가 바람직하다. C3 요오드-함유 에칭 화합물은 화학식 C3HxFyIz를 가지며, 여기서, x는 0 내지 6이며, y는 1 내지 7이며, z는 1 내지 2이며, x+y+z는 6 또는 8이다. 예시적인 C3 요오드-함유 에칭 화합물은 C3F5I, C3HF4I, C3H2F3I, C3F7I, C3HF6I, C3H2F5I, C3H3F4I, 및 C3H4F3I, 바람직하게, C3F5I, C3HF4I, C3H2F3I, C3HF6I, C3H2F5I, C3H3F4I, 또는 C3H4F3I, 더욱더 바람직하게, C3HF4I, C3H2F3I, C3H2F5I, C3H3F4I, 또는 C3H4F3I를 포함한다.
그러나, C2 분자는 반응기쪽으로 더욱 용이하게 전달될 수 있거나, 일부 적용의 경우에, 더 얇은 패시베이션층이 요망될 수 있다. C2 요오드-함유 에칭 화합물은 화학식 C2HxFyIz를 가지며, 여기서, x는 0 내지 4이며, y는 1 내지 5이며, z는 1 내지 2이며, x+y+z는 4 또는 6이다. 예시적인 C2 요오드-함유 에칭 화합물은 C2F3I, C2HF2I, C2H2FI, C2F5I, C2HF4I, C2H2F3I, C2H3F2I, C2H4FI, 및 C2F4I2, 바람직하게, C2F3I, C2H2FI, C2H3F2I, C2H4FI, 또는 C2F4I2, 및 더욱 바람직하게, C2H2FI, C2H3F2I, 또는 C2H4FI, 및 더욱더 바람직하게, C2HF2I, C2HF4I, 또는 1,1-디플루오로-1-요오도-에탄을 포함한다.
본 출원인은 또한, 개시된 요오드-함유 에칭 화합물이 적어도 하나의 H를 포함할 때(즉, C2HF2I, C2HF4I, C2H2F3I, C2H3F2I, C2H4FI, C3HF4I, C3H2F3I, C3HF6I, C3H2F5I, C3H3F4I, 및 C3H4F3I, 바람직하게, C2H2FI, C2H3F2I, C2H4FI, C3HF4I, C3H2F3I, C3HF6I, C3H2F5I, C3H3F4I, 및 C3H4F3I) 마스크 층에 대한 높은 선택성을 유지하면서 SiN의 에칭률이 향상될 수 있다는 것으로 여긴다.
다시 한번, C3 분자는 측벽 보호를 위해 바람직하다. 하나의 H를 함유한 C3 요오드-함유 에칭 화합물은 화학식 C3HxFyIz를 가지며, 여기서, x는 1 내지 6 이며, y는 1 내지 6이며, z는 1 내지 2이며, x+y+z는 6 또는 8이다. 예시적인 분자는 C3HF4I, C3H2F3I, C3HF6I, C3H2F5I, C3H3F4I, 및 C3H4F3I를 포함한다.
그러나, 또한, C2 분자는 반응기로 더욱 용이하게 전달될 수 있다. 하나의 H를 함유한 C2 요오드-함유 에칭 화합물은 화학식 C2HxFyIz를 가지며, 여기서, x는 1 내지 4이며, y는 1 내지 4이며, z는 1 내지 2이며, x+y+z는 4 또는 6이다. 예시적인 분자는 C2HF2I, C2H2FI, C2HF4I, C2H2F3I, C2H3F2I, 및 C2H4FI, 바람직하게, C2H2FI, C2H3F2I, 또는 C2H4FI, 및 더욱 바람직하게, C2HF2I, C2HF4I, 또는 1,1-디플루오로-1-요오도-에탄을 포함한다.
개시된 요오드-함유 에칭 화합물은 마스크 층, 에치 정지 층 및 소자 채널 물질에 대한 높은 선택성, 및 DRAM 및 3D NAND 적용과 같은 10:1 내지 200:1 범위의 종횡비를 갖는 구조와 같은 고종횡비 구조에서 프로파일 왜곡 없음을 제공할 수 있다. 대안적으로, 개시된 요오드-함유 에칭 화합물은 또한, 접촉 에치 적용에서 1:1 내지 50:1 범위의 종횡비를 갖는 것과 같은, 마스크 층 또는 질화규소에 대한 높은 선택성을 제공할 수 있다.
개시된 요오드-함유 에칭 화합물은 에칭의 넓은 공정 조건에 대해 무한한 선택성을 제공할 수 있다. 본원에서, 선택성은 2개의 상이한 층의 에칭률 비율을 지칭한다. 예를 들어, SiO 층 대 a-C 층에 대한 선택성은 a-C 층의 에칭률로 나누어진 SiO의 에칭률이다. 개시된 요오드-함유 에칭 화합물은 규소-함유 필름과 마스크 물질 간의 개선된 선택성, 채널 영역에 대한 더 낮은 손상, 및 패턴 고종횡비 구조에서 보우잉(bowing) 감소를 제공할 수 있다. 개시된 요오드-함유 에칭 화합물은 또한 p-Si, SiO, 및/또는 SiN의 교대 층을 통해 에칭하여, 수직 에치 프로파일을 야기시킬 수 있다(즉, 교대 층들 간에 2:1 내지 1:2 범위의 선택성을 나타냄).
개시된 요오드-함유 에칭 화합물은 95% v/v 초과의 순도, 바람직하게, 99.99% v/v 초과의 순도, 및 더욱 바람직하게, 99.999% v/v 초과의 순도로 제공된다. 개시된 요오드-함유 에칭 화합물은 5 부피% 미만의 미량 가스 불순물을 함유하며, 상기 미량 가스상 불순물에는 부피 기준으로 150 ppm 미만의 불순물 가스, 예를 들어, N2 및/또는 H2O 및/또는 CO2가 함유된다. 바람직하게, 플라즈마 에칭 가스에서 물 함량은 중량 기준으로 20 ppmw 미만이다. 정제된 생성물은 증류에 의해 및/또는 4A 분자체와 같은 적합한 흡착제를 통해 가스 또는 액체를 진행시킴으로써 형성될 수 있다.
개시된 요오드-함유 에칭 화합물은 10% v/v 미만, 바람직하게, 1% v/v 미만, 더욱 바람직하게, 0.1% v/v 미만, 및 더욱더 바람직하게, 0.01% v/v 미만의 이의 임의의 이성질체를 함유하며, 이는 이성질체를 제거하기 위해 가스 또는 액체의 증류에 의해 정제될 수 있고, 보다 양호한 공정 반복성을 제공할 수 있다.
대안적으로, 개시된 요오드-함유 에칭 화합물은 특히, 이성질체 혼합물이 개선된 공정 파라미터를 제공할 때 또는 타겟 이성질체의 단리가 너무 어렵거나 고가인 경우에, 5% v/v 내지 50% v/v의 이의 이성질체를 함유할 수 있다. 예를 들어, 개시된 요오드-함유 에칭 화합물은 대략 50% v/v 내지 대략 75% v/v의 (1E)-1,2-디플루오로-1-요오도-에텐 및 대략 25% v/v 내지 대략 50% v/v의 (1Z)-1,2-디플루오로-1-요오도-에텐을 포함할 수 있다. 이성질체들의 혼합물은 또한, 반응 챔버로의 2개 이상의 가스 라인에 대한 필요를 감소시킬 수 있다.
개시된 요오드-함유 에칭 화합물들 중 일부는 실온 및 대기압에서 가스상이다. 비-가스상(즉, 액체 또는 고체) 화합물의 경우에, 이의 가스 형태는 직접 기화와 같은 통상적인 기화 단계를 통해 화합물을 기화시킴으로써 또는 불활성 가스(N2, Ar, He)로 버블링시킴으로써 형성될 수 있다. 비-가스상 화합물은 기화기로 액체 상태로 공급될 수 있으며, 여기서, 이는 반응기 내에 도입되기 전에 기화된다.
개시된 요오드-함유 에칭 화합물은 규소-함유 필름에서, 반도체 구조, 예를 들어, 채널 홀, 게이트 트렌치, 계단 콘택트, 커패시터 홀, 접촉 홀 등을 플라즈마 에칭시키기에 적합하다. 개시된 요오드-함유 에칭 화합물은 현재 입수 가능한 마스크 물질과 혼화 가능할뿐만 아니라, 개시된 요오드-함유 에칭 화합물이 고종횡비 구조의 양호한 프로파일과 함께 마스크 상에 손상을 거의 유도하지 않거나 전혀 유도하지 않기 때문에 미래 세대의 마스크 물질과도 혼화 가능하다. 다시 말해서, 개시된 요오드-함유 에칭 화합물은 보우잉, 패턴 붕괴, 또는 거칠기를 최소화시키거나 전혀 가지지 않는 수직 에칭된 패턴을 형성할 수 있다. 이러한 성질을 달성하기 위하여, 개시된 요오드-함유 에칭 화합물은 에칭 공정 동안 산소 및 불소 라디칼의 직접 충격을 감소시키는 데 도움을 주기 위해 에칭 동안 내에칭성 폴리머 층을 증착시킬 수 있다. 개시된 요오드-함유 에칭 화합물은 또한 에칭 동안 p-Si 또는 결정질 Si 채널 구조에 대한 손상을 감소시킬 수 있다. 바람직하게, 개시된 요오드-함유 에칭 화합물은 반응기/챔버 내로의 전달을 위해 에칭 공정 동안 적합하게 휘발성이고 안정하다.
물질 혼화성 시험은 임의의 개시된 요오드-함유 에칭 화합물이 챔버 물질과 반응하고 단기 또는 장기 사용과 함께 챔버 성능을 저하시키는 경우를 결정하는 데 중요하다. 챔버, 밸브 등의 부품과 관련된 주요 물질은 스테인레스강, 알루미늄, 니켈, PCTFE, PVDF, PTFE 및 다른 금속 및 폴리머를 포함한다. 때때로, 이러한 물질은 고온, 예를 들어, 20℃보다 높은 온도, 및 고압, 예를 들어, 1 atm보다 높은 압력에 노출되며, 이는 이의 열화(degradation)를 촉진시킬 수 있다. 계측 방법(metrology method)은 육안 검사, 중량 측정, 주사 전자 현미경법(SEM)에서 나노미터 스케일 변화, 인장 강도, 경도의 측정 등을 포함할 수 있다.
개시된 요오드-함유 에칭 화합물은 기판 상에서 규소-함유 필름을 플라즈마 에칭시키기 위해 사용될 수 있다. 개시된 플라즈마 에칭 방법은 반도체 소자, 예를 들어, NAND 또는 3D NAND 게이트 또는 플래시 또는 DRAM 메모리 또는 트랜지스터, 예를 들어, 핀-형상 전계-효과 트랜지스터(FinFET), 벌크 상보적 금속-산화물-반도체(Bulk CMOS), 완전 공핍형 실리콘-온-절연체(FD-SOI) 구조의 제조에서 유용할 수 있다. 개시된 요오드-함유 에칭 화합물은 다른 적용 분야, 예를 들어, 상이한 라인의 프론트 엔드(FEOL) 및 라인의 백 엔드(BEOL) 에치 적용에서 사용될 수 있다. 추가적으로, 개시된 요오드-함유 에칭 화합물은 기판 상의 로직(logic)에 메모리를 상호연결시키기 위해 3D 관통 실리콘 비아(TSV) 에치 적용에서 Si를 에칭시키기 위해 사용될 수 있다.
플라즈마 에칭 방법은 반응 챔버 내에 배치된 기판을 갖는 반응 챔버를 제공하는 것을 포함한다. 반응 챔버는 에칭 방법, 예를 들어, 그리고 비제한적으로, 반응성 이온 에칭(RIE), 단일 또는 다중 주파수 RF 소스로의 용량성 결합 플라즈마(CCP), 유도형 결합 플라즈마(ICP), 또는 마이크로파 플라즈마 반응기, 또는 규소-함유 필름의 일부를 선택적으로 제거하거나 활성 종을 발생시킬 수 있는 다른 타입의 에칭 시스템이 일어나는 디바이스 내에서의 임의의 엔클로져(enclosure) 또는 챔버일 수 있다. 당업자는, 상이한 플라즈마 반응 챔버 설계가 상이한 전자 온도 조절을 제공한다는 것을 인지할 것이다. 적합한 상업적으로 입수 가능한 플라즈마 반응 챔버는 상표명 eMAXTM으로 시판되는 Applied Materials의 자기적으로 향상된 반응성 이온 에칭기 또는 상표명 2300® FlexTM으로 시판되는 Lam Research Dual CCP의 반응성 이온 에칭기 유전체 에치 제품 패밀리를 포함하지만, 이로 제한되지 않는다. 여기에서 RF 전력은 플라즈마 성질을 조절하기 위해 펄스화될 수 있고, 이에 의해, 에치 성능(선택성 및 손상)을 추가로 개선시킬 수 있다.
대안적으로, 플라즈마-처리된 반응물은 반응 챔버의 외측에서 형성될 수 있다. MKS 기기 ASTRONi® 반응성 가스 발생기는 반응 챔버 내로의 통과 전에 반응물을 처리하기 위해 사용될 수 있다. 2.45 GHz, 7kW 플라즈마 출력, 및 대략 0.5 Torr 내지 대략 10 Torr 범위의 압력에서 작동시키는 경우에, 반응물 O2는 2개의 O· 라디칼로 분해될 수 있다. 바람직하게, 원격 플라즈마는 약 1 kW 내지 약 10 kW, 더욱 바람직하게, 약 2.5 kW 내지 약 7.5 kW 범위의 출력으로 발생될 수 있다.
반응 챔버는 하나 또는 하나 초과의 기판을 함유할 수 있다. 예를 들어, 반응 챔버는 25.4 mm 내지 450 mm 직경을 갖는 1 내지 200개의 실리콘 웨이퍼를 함유할 수 있다. 기판은 반도체, 광전지, 평면 패널 또는 LCD-TFT 소자 제조에서 사용되는 임의의 적합한 기판일 수 있다. 적합한 기판의 예는 웨이퍼, 예를 들어, 실리콘, 실리카, 유리, 또는 GaAs 웨이퍼를 포함한다. 웨이퍼는 이전 제조 단계로부터 웨이퍼 상에 다수의 필름 또는 층을 가질 것이며, 이는 규소-함유 필름 또는 층을 포함한다. 층은 패턴화될 수 있거나 되지 않을 수 있다. 적합한 층의 예는 비제한적으로, 규소(예를 들어, 비정질 규소, p-Si, 결정질 규소, 이들 중 임의의 것은 B, C, P, As, 및/또는 Ge로 추가로 p-도핑되거나 n-도핑될 수 있음), 실리카, 질화규소, 산화규소, 산질화규소, SiaObHcCdNe(여기서, a는 0 초과이며, b, c, d, e는 0 이상임), 마스크 층 물질, 예를 들어, 비정질 탄소, 반사방지 코팅, 포토레지스트 물질, 텅스텐, 질화티탄, 질화탄탈 또는 이들의 조합, 에치 정지 층 물질, 예를 들어, 질화규소, 폴리실리콘, 결정질 규소, 탄화규소, SiCN 또는 이들의 조합, 소자 채널 물질, 예를 들어, 결정질 규소, 에피택셜 규소(epitaxial silicon), 도핑된 규소, SiaObHcCdNe(여기서, a는 0 초과이며, b, c, d, e는 0 이상임) 또는 이들의 조합을 포함한다. 산화규소 층은 유전 물질, 예를 들어, 유기 기반 또는 산화규소 기반 저-k 유전 물질(예를 들어, 비정질 SiCOH 필름)을 형성할 수 있다. 예시적인 저-k 유전 물질은 Applied Materials에 의해 상표명 Black Diamond II 또는 III로 시판된다. 추가적으로, 텅스텐 또는 귀금속(예를 들어, 백금, 팔라듐, 로듐 또는 금)을 포함하는 층이 사용될 수 있다. 또한, 규소-함유 필름의 예는 SiaObHcCdNe(여기서, a는 0 초과이며, b, c, d, e는 0 이상임)일 수 있다. 명세서 및 청구항 전반에 걸쳐, 웨이퍼 및 그 위의 임의의 관련된 층은 기판으로서 지칭된다.
하기는 에칭을 위해 개시된 요오드-함유 에칭 화합물이 적용될 수 있는 기판의 예시적인 구현예이다.
일 구현예에서, 기판(100)은 도 1a에 도시된 바와 같은 다수의 층들의 스택을 포함할 수 있다. 도 1a는 3D NAND 게이트를 형성하기 위한 3D NAND 스택에서의 예시적인 층들의 측단면도이다. 도 1a에서, 7개의 교대하는 SiO/SiN(즉, 104a/104b) 층(104)의 스택은 실리콘 웨이퍼(102)의 상부 상에 위치된다(즉, ONON 또는 TCAT 기술). 당업자는, 몇몇 기술들이 SiN 층(104b)을 p-Si 층으로 대체하는 것을 인지할 것이다(즉, SiO/p-Si 또는 P-BICS 기술). 비정질 탄소(a-C) 마스크 층(106)은 7개의 SiO/SiN 층(104)의 상부 상에 위치된다. a-C 마스크 층(106)은 SiO/SiN 층 에치 동안 내에칭성을 개선시키기 위해 C 및 H뿐만 아니라, 다른 원소, 예를 들어, 붕소, 질소 등을 함유할 수 있다. 반사방지 코팅층(108)은 a-C 마스크 층(106)의 상부 상에 위치된다. 패턴화된 포토레지스트 층(110)은 반사방지 코팅층(108)의 상부 상에 위치된다. 본원에서, SiON 층(미도시됨)은 포토레지스트 층(110)에서의 패턴을 a-C 층(106)으로 전달하기 위해 반사방지 코팅층(108)과 a-C 마스크 층(106) 사이에 존재할 수 있다. 당업자는 도 1a에서의 기판(100)의 층들의 스택이 단지 예시적인 목적을 위해 제공되며, 개시된 요오드-함유 에칭 화합물이 다른 타입의 층들의 스택을 에칭시키기 위해 사용될 수 있다는 것을 인지할 것이다. 또한, 당업자는 기판(100)의 스택에서 교대하는 SiO/SiN 또는 SiO/p-Si 층(104)의 수가 다양할 수 있음을 인지할 것이다(즉, 도시된 7개의 SiO/SiN(104a/104b) 층보다 많거나 적은 층을 포함할 수 있음).
도 1b는 에칭 동안 측벽 상에 증착된 폴리머를 도시한 도 1a의 3D NAND 스택에서의 예시적인 층들의 측단면도이다. 개시된 요오드-함유 에칭 화합물은 규소-함유 필름(104)을 이방성으로 에칭시키고 도 1b에 도시된 바와 같이 에칭되는 구조의 측벽 상에 I-함유 폴리머 패시베이션 층(212)을 증착시키기 위해 적합한 단편을 플라즈마 공정 동안 형성할 수 있다. 도 1b도 1a의 차이는 도 1b에서 비아(214)가 개시된 요오드-함유 에치 화합물을 사용하여 플라즈마 에칭시킴으로써 기판(100)에서 형성되고, 이는 또한, 비아(214)의 측벽 상에 폴리머 패시베이션 층(212)을 증착시킨다는 것이다. 폴리머 패시베이션 층(212)은 또한, 더 매끄러운 측벽, 더 적은 보우잉 및 비아(214)의 하부에서의 더 적은 변형을 제공한다. 그러나, 폴리머 패시베이션 층(212)은 당해 분야에서 공지된 건식 또는 습식 에치 화학물질에 의해 용이하게 제거되거나 세정될 수 있다.
도 1c는 3D NAND 스택에서 교대하는 SiO/SiN 층 에칭 동안 발생된 입자(316)를 나타낸 도 1a의 3D NAND 스택에서의 예시적인 층들의 측단면도이다. 도 1c에 도시된 바와 같이 교대하는 SiO/SiN(즉, 104a/104b) 층(104)의 측벽 상에서 발생된 입자(316)는 개시된 요오드-함유 에칭 화합물을 사용함으로써 최소화될 수 있다. 도 1c도 1d의 차이는 도 1c에서 교대하는 SiO/SiN 노출된 측벽이 플라즈마 에칭 동안 발생된 입자(316)를 갖는다는 것이다. 본 출원인은, 개시된 요오드-함유 에칭 화합물이 도 1c에 도시된 바와 같이, 입자(316)를 발생시킬 것으로 여기지 않는다.
도 1d는 이방성 에칭 공정 후에 3D NAND 스택에서 측벽 상에 노출된 SiN 층(104b)의 선택적 등방성 에칭을 나타낸 도 1a의 3D NAND 스택에서의 예시적인 층들의 측단면도이다. 스택(100)에서 SiN 노출된 측벽은 SiO 층(104a)에서의 Si-O 결합에 비해 SiN 층(104b)에서의 Si-N 결합을 선택적으로 파괴하여 비아(214)에서 SiO/SiN 층(104)의 스택 상에 선택적 측벽 SiN 에치(418)를 형성하기 위해 개시된 요오드-함유 에칭 화합물을 사용함으로써 도 1d에 도시된 바와 같이 선택적으로 에칭될 수 있다. 도 1d도 1b의 차이는 도 1d에서 교대하는 SiO/SiN 측벽 상에 노출된 SiN이 개시된 요오드-함유 에칭 화합물에 의해 선택적으로 에칭되어 선택적 측벽 SiN 에치(418)를 형성한다는 것이다. 통상적으로, 선택적 측벽 SiN 에치(418)는 인산을 갖는 혼합물을 사용한 습식 에칭에 의해 수행된다. 습식 에치 공정을 건식 플라즈마 에치 공정으로 대체하는 것은 습식 에칭이 기판을 상이한 습식 에칭 장치쪽으로 이동시키는 것을 필요로 하기 때문에, 반도체 소자 제작 공정의 경제성을 크게 개선시키는 것으로 알려져 있다. 개시된 방법과 관련하여, 도 1d의 선택적 측벽 SiN 에치를 포함하는 모든 에칭은 에칭 장비 한 대에서 수행될 수 있으며, 이는 반도체 제작 비용을 감소시킬 수 있다.
대안적인 구현예에서, 기판(100)은 도 2에 도시된 바와 같이, 기판 상에 다수의 층들의 스택을 포함할 수 있다. 도 2는 DRAM 메모리를 형성하기 위한 DRAM 스택에서의 예시적인 층들의 측단면도이다. 도 2에서, 4개의 층의 스택이 실리콘 웨이퍼(102)의 상부 상에 위치된다. a-C 마스크 층(106)은 큰 SiO 층(104a)의 상부 상에 위치된다. 반사방지 코팅층(108)은 a-C 마스크(106)의 상부 상에 위치된다. 패턴 포토레지스트 층(110)은 반사방지 코팅(108)의 상부 상에 위치된다. SiON 층(미도시됨)은 포토레지스트 층(110)에서의 패턴을 a-C 층(106)으로 전달하기 위해 반사방지 코팅층(108)과 a-C 마스크 층(106) 사이에 존재할 수 있다. 당업자는, 도 2의 층 스택이 단지 예시적인 목적을 위해 제공되며, 개시된 요오드-함유 에칭 화합물이 예를 들어, a-C 마스크 층(106)이 TiN 층으로 대체된 스택의 경우에, 다른 층의 스택을 에칭시키기 위해 사용될 수 있다는 것을 인지할 것이다. 또한, 당업자는, 스택에서 층의 수가 달라질 수 있다는 것을 인지할 것이다(즉, 도시된 층 이상 또는 미만을 포함할 수 있음).
도 3a는 트랜지스터 구조를 형성하기 위해 통상적인 트랜지스터 소자 영역을 둘러싸는 SiO 절연층 위에 포토레지스트 패턴을 도시한 예시적인 층의 측단면도이다. 기판(600)은 도 3a에 도시된 바와 같이 실리콘 웨이퍼(602) 상에 지지된 트랜지스터 게이트 전극 영역을 둘러싸는 4개의 층의 스택을 포함할 수 있다. 도 3a에 도시된 트랜지스터 영역은 소스 및 드레인으로서 역할을 하는 2개의 도핑된 규소 영역(606)을 포함한다. 트랜지스터 게이트 유전체(614)는 게이트 전극(616) 아래에 존재한다. 전체 트랜지스터, 즉, 트랜지스터 게이트 유전체(614) 및 게이트 전극(616)은 접촉 에치 동안 이후 에치 정지 층으로서 역할을 할 수 있는 얇은 SiN 층(608)에 의해 둘러싸여진다. 각 트랜지스터 소자 영역(616/606)은 전기적 간섭을 최소화하기 위해 실리콘 웨이퍼(602)에서 SiO 절연 영역(604)에 의해 분리된다. 당업자는, 층(602)이 실리콘 온 절연체(SOI) 웨이퍼의 산화규소 층의 상부 상에 위치될 수 있음을 인지할 것이다. 다른 SiO 층(610)은 트랜지스터 상에 증착되고, 트랜지스터 소자 영역(606)에 대한 임의의 금속 접촉을 절연시키기 위해 사용된다. 포토레지스트 마스크(612)는 SiO 층(610)을 패턴화하기 위해 사용된다. 에칭은 플라즈마 환경에서 개시된 요오드-함유 에칭 화합물을 사용하여 수행된다. 포토레지스트 마스크(612)는 SiO 층(610)을 에칭시키기 위해 주형으로서 역할을 하며, 에칭은 도 3b에 도시된 바와 같이 SiN 층(608) 상에서 정지된다.
도 3b는 SiO 절연층을 에칭시킨 후 도 3a의 예시적인 층의 측단면도이다. 도 3b도 3a 간의 차이는 도 3b에서 개시된 요오드-함유 에칭 화합물에 의한 에칭을 통해 SiO 층(610)에서 형성된 비아(718)이다. SiO 층(610)은 마스크 층으로서 포토레지스트 층(612)으로 에칭될 수 있다. 마스크 층은 TiN, a-C 등과 같은 임의의 적합한 포토레지스트 마스크 물질일 수 있다. 에칭은 하부 SiN 층(608)에서 정지될 수 있다.
개시된 요오드-함유 에칭 화합물은 또한, 상이한 플라즈마 조건 및 상이한 혼합물로 SiN 층(608)을 에칭시키기 위해 사용될 수 있다. 당업자는, 도 3a도 3b에서 층들의 스택 및 기하학적 구조가 단지 예시적인 목적을 위해 제공되며, 개시된 요오드-함유 에칭 화합물이 다른 타입의 층들의 스택을 에칭시키기 위해 사용될 수 있다는 것을 인지할 것이다. 또한, 당업자는, 스택에서 층들의 수가 달라질 수 있다는 것을 인지할 것이다(즉, 도시된 4개의 층보다 많거나 적은 층을 포함할 수 있음).
개시된 요오드-함유 에칭 화합물의 증기는 기판 및 규소-함유 필름을 함유한 반응 챔버 내에 도입된다. 증기는 챔버에 대략 0.1 sccm 내지 대략 1 slm 범위의 유량으로 도입될 수 있다. 예를 들어, 200 mm 크기의 웨이퍼의 경우에, 증기는 챔버에 대략 5 sccm 내지 대략 50 sccm 범위의 유량으로 도입될 수 있다. 대안적으로, 450 mm 크기의 웨이퍼의 경우에, 증기는 챔버에 대략 25 sccm 내지 대략 250 sccm 범위의 유량으로 도입될 수 있다. 당업자는 유량이 툴(tool)에 따라 달라질 수 있다는 것을 인지할 것이다.
개시된 요오드-함유 에칭 화합물은 순수한 형태(neat form)로 또는 불활성 가스, 예를 들어, N2, Ar, He, Xe 등, 또는 용매와의 블렌드로 공급될 수 있다. 개시된 요오드-함유 에칭 화합물은 블렌드에서 다양한 농도로 존재할 수 있다. 액체 요오드-함유 화합물의 경우에, 요오드-함유 에칭 화합물의 증기 형태는 직접 기화와 같은 일반적인 기화 단계를 통해 순수한 또는 블렌딩된 요오드-함유 에칭 화합물 용액을 기화시킴으로써 또는 버블링에 의해 형성될 수 있다. 순수한 또는 블렌딩된 요오드-함유 에칭 화합물은 기화기에 액체 상태로 공급될 수 있으며, 여기서, 이는 반응기 내에 도입되기 전에 기화된다.
대안적으로, 순수한 또는 블렌딩된 요오드-함유 에칭 화합물은 개시된 요오드-함유 에칭 화합물을 함유한 용기 내에 운반 가스를 진행시킴으로써 또는 개시된 요오드-함유 화합물 내에 운반 가스를 버블링함으로써 기화될 수 있다. 운반 가스는 Ar, He, N2, 및 이들의 혼합물을 포함할 수 있지만, 이로 제한되지 않는다. 운반 가스로의 버블링은 또한, 순수한 또는 블렌딩된 요오드-함유 에칭 화합물 용액에 존재하는 임의의 용해된 산소를 제거할 수 있다. 운반 가스 및 개시된 요오드-함유 에칭 화합물은 이후에, 반응기 내에 증기로 도입된다.
필요한 경우에, 개시된 요오드-함유 에칭 화합물을 함유한 용기는 요오드-함유 에칭 화합물이 액체 상으로 존재하게 하고 에칭 툴(etching tool) 내로의 전달을 위해 충분한 증기압을 갖게 하는 온도까지 가열될 수 있다. 용기는 예를 들어, 대략 0℃ 내지 대략 150℃, 바람직하게, 대략 25℃ 내지 대략 100℃, 더욱 바람직하게, 대략 25℃ 내지 대략 50℃ 범위의 온도에서 유지될 수 있다. 더욱 바람직하게, 용기는 에치 툴에 대한 가열 라인을 피하기 위해 실온(대략 25℃)에서 유지된다. 당업자는, 용기의 온도가 기화된 요오드-함유 화합물의 양을 조절하기 위해 알려진 방식으로 조정될 수 있다는 것을 인지한다.
추가적으로, 요오드-함유 에칭 화합물은 95 부피% 내지 99.999 부피% 범위의 순도로 전달되고, CO, CO2, N2, H2O, HF, H2S, SO2, 할라이드, 및 다른 탄화수소 또는 하이드로할로카본(hydrohalocarbon)의 제거를 위한 공지된 표준 정제 기술로 정제될 수 있다.
불활성 가스는 또한, 플라즈마를 지속시키기 위하여 반응 챔버 내에 도입된다. 불활성 가스는 He, Ar, Xe, Kr, Ne, N2 또는 이들의 조합일 수 있다. 에칭 가스 및 불활성 가스는 챔버에 도입 전에 혼합될 수 있으며, 불활성 가스는 얻어진 혼합물의 대략 0.01% v/v 내지 대략 99.9% v/v를 차지한다. 대안적으로, 불활성 가스는 챔버에 연속적으로 도입될 수 있는 반면, 에칭 가스는 챔버에 펄스식으로 도입된다.
개시된 에칭 가스 및 불활성 가스의 증기는 활성화된 에칭 가스를 형성하기 위해 플라즈마에 의해 활성화된다. 플라즈마는 에칭 가스를 라디칼 형태(즉, 활성화된 에칭 가스)로 분해시킨다. 플라즈마는 RF 또는 DC 전력을 인가함으로써 발생될 수 있다. 플라즈마는 약 25 W 내지 약 10,000 W 범위의 RF 전력으로 발생될 수 있다. 플라즈마는 원격으로 또는 반응기 자체 내에서 발생될 수 있다. 플라즈마는 양 전극 모두에 인가된 RF로 이중 CCP 또는 ICP 모드에서 발생될 수 있다. 플라즈마의 RF 주파수는 200 KHz 내지 1 GHz의 범위일 수 있다. 상이한 주파수에서의 상이한 RF 소스는 동일한 전극에 커플링되고 인가될 수 있다. 플라즈마 RF 펄싱(pulsing)은 기판에서 분자 단편화 및 반응을 제어하기 위해 추가로 사용될 수 있다. 당업자는 이러한 플라즈마 처리를 위해 적합한 방법 및 장비를 인지할 것이다.
사중극자 질량 분석계(QMS), 발광 분광계, FTIR, 또는 다른 라디칼/이온 측정 툴은 형성된 종의 타입 및 수를 결정하기 위해 챔버 배기가스로부터 활성화된 에칭 가스를 측정할 수 있다. 필요한 경우에, 에칭 가스 및/또는 불활성 가스의 유량은 형성된 라디칼 종의 수를 증가 또는 감소시키기 위해 조정될 수 있다.
개시된 에칭 가스는 반응 챔버 내에 도입 전에 또는 반응 챔버 내에서 다른 가스와 혼합될 수 있다. 바람직하게, 가스는 진입하는 가스의 균일한 농도를 제공하기 위해 챔버에 도입 전에 혼합될 수 있다.
다른 대안예에서, 요오드-함유 에칭 화합물의 증기는 다른 가스와 독립적으로, 예를 들어, 가스들 중 둘 이상이 반응하거나 독립적으로 전달하기 용이할 때 챔버 내에 도입될 수 있다.
다른 대안예에서, 에칭 가스 및 불활성 가스는 에칭 공정 동안 사용되는 단지 2개의 가스이다.
예시적인 다른 가스는 비제한적으로, 산화제, 예를 들어, O2, O3, CO, CO2, NO, N2O, NO2, 및 이들의 조합을 포함한다. 개시된 에칭 가스 및 산화제는 반응 챔버 내에 도입 전에 함께 혼합될 수 있다.
대안적으로, 산화제는 챔버 내에 연속적으로 도입될 수 있으며, 에칭 가스는 챔버 내에 펄스식으로 도입될 수 있다. 산화제는 챔버 내에 도입된 혼합물의 대략 0.01% v/v 내지 대략 99.99% v/v를 차지할 수 있다(99.99% v/v는 연속 도입 대안을 위해 거의 순수한 산화제의 도입을 나타냄).
개시된 요오드-함유 에칭 화합물과 함께 사용될 수 있는 다른 예시적인 가스는 추가적인 에칭 가스, 예를 들어, cC4F8, C4F8, C4F6, CF4, CH3F, CF3H, CH2F2, COS, CS2, CF3I, C2F3I, C2F5I, SO2, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐(트랜스-C4H2F6), 시스-1,1,1,4,4,4-헥사플루오로-2-부텐(시스-C4H2F6), 헥사플루오로이소부텐(C4H2F6), 트랜스-1,1,2,2,3,4-헥사플루오로사이클로부탄(트랜스-C4H2F6), 1,1,2,2,3-펜타플루오로사이클로부탄(C4H3F5), 1,1,2,2-테트라플루오로사이클로부탄(C4H4F4), 또는 시스-1,1,2,2,3,4-헥사플루오로사이클로부탄(시스-C4H2F6)을 포함할 수 있다. 예를 들어, 대략 1% v/v 내지 대략 25% v/v의 개시된 요오드-함유 에칭 화합물이 사용될 수 있고, 잔부는 C4F6 또는 cC4F8이다. 하기 실시예에서 나타내는 바와 같이, 통상적인 에치 가스와 개시된 요오드-함유 에칭 화합물의 조합은 기판과 개시된 요오드-함유 에칭 화합물과 관련하여 에칭될 층 간의 더 높은 선택성을 유지하면서, 증가된 에칭률을 야기시킬 수 있다.
개시된 요오드-함유 에칭 화합물의 증기 및 에칭 가스는 반응 챔버에 도입 전에 혼합될 수 있다. 추가적인 에칭 가스는 챔버 내에 도입된 혼합물의 대략 0.01% v/v 내지 대략 99.99% v/v를 차지할 수 있다.
하나의 비제한적인 예시적인 플라즈마 에치 공정에서, 1,1,3,3-테트라플루오로-3-요오도-1-프로펜(CAS No. 674-03-3)의 증기는 제어된 가스 흐름 디바이스를 이용하여 200 mm Dual CCP 플라즈마 에치 툴 내에 도입된다. 제어된 가스 흐름 디바이스는 질량 흐름 제어기일 수 있거나 요망하는 분자의 증기를 전달하기 위한 불활성 가스 흐름을 이용한 버블러 디자인일 수 있다. 고비등점 분자의 경우에, BrooksAutomation(No. GF120XSD), MKS Instruments 등으로부터의 특수 저압 드롭 질량 흐름 제어기가 이용될 수 있다. 반응 챔버의 압력은 대략 30 mTorr로 설정된다. 증기압이 충분한 경우에, 가스 공급원 가열이 필요하지 않다. 2개의 CCP 전극들 사이의 거리는 1.35 cm에서 유지되며, 상부 전극 RF 전력은 750 W에서 고정된다. 하부 전극 RF 전력은 분자의 성능을 분석하기 위해 다양하다. 반응 챔버는 도 1a에 도시된 것과 유사한, 기판 상에 규소-함유 필름을 갖는 기판을 함유한다. 반사방지 코팅층(108)은 플루오로카본(예를 들어, CF4 및 CH2F2) 및 산소-함유 가스(예를 들어, O2)에 의해 패턴화/에칭된다. 비정질 탄소 마스크 층은 산소-함유 가스에 의해 패턴화/에칭된다. SiO 및 SiN 층(104)은 개시된 요오드-함유 에칭 화합물(예를 들어, 1,1,3,3-테트라플루오로-3-요오도-1-프로펜)의 플라즈마 및 아르곤에 의해 패턴화된다. 아르곤은 독립적으로 250 sccm 유량으로 챔버 내에 도입된다. 1,1,3,3-테트라플루오로-3-요오도-1-프로펜은 독립적으로 15 sccm으로 챔버 내에 도입된다. O2는 독립적으로 챔버 내에 도입되고, 최적의 에칭 조건을 결정하기 위해 0 sccm 내지 20 sccm에서 다양하다. 30:1 이상의 종횡비를 갖는 애퍼처가 형성되며, 이는 수직 NAND에서 채널 홀로서 사용될 수 있다. 유사한 예는 도 2도 3a에 도시된 바와 같은 다른 스택 층을 위해 사용될 수 있다.
다른 비제한적인 예시적인 플라즈마 에치 공정에서, 1,2-디플루오로-1-요오도-에텐은 제어된 가스 흐름 디바이스를 이용하여 200 mm Dual CCP 플라즈마 에치 툴 내에 도입된다. 제어된 가스 흐름 디바이스는 질량 흐름 제어기일 수 있다. 고비등점 분자의 경우에, BrooksAutomation(No. GF120XSD), MKS Instruments 등으로부터의 특수 저압 드롭 질량 흐름 제어기가 이용될 수 있다. 반응 챔버의 압력은 대략 30 mTorr로 설정된다. 증기압이 충분한 경우에, 가스 공급원 가열이 필요하지 않다. 2개의 CCP 전극들 사이의 거리는 1.35 cm에서 유지되며, 상부 전극 RF 전력은 750 W에서 고정된다. 하부 전극 RF 전력은 1,2-디플루오로-1-요오도-에텐의 성능을 분석하기 위해 다양하다. 반응 챔버는 도 2에 도시된 층과 유사한, 기판 상에 두꺼운 SiO 층(104a)을 갖는 기판(100)을 함유한다. 이러한 공정 이전에, 반사방지 코팅층(108)은 플루오로카본(예를 들어, CF4) 및 산소-함유 가스(예를 들어, O2)에 의해 제거되며, a-C 마스크 층(106)은 산소-함유 가스에 의해 제거된다. 아르곤은 독립적으로 250 sccm 유량으로 챔버 내에 도입된다. 1,2-디플루오로-1-요오도-에텐은 독립적으로 15 sccm으로 챔버 내에 도입된다. O2는 독립적으로, 최적의 에칭 조건을 결정하기 위해 0 내지 20 sccm으로 챔버 내에 도입된다. 10:1 이상의 종횡비를 갖는 애퍼처가 형성되며, 이는 DRAM에서 접촉 홀로서 사용될 수 있다. 유사한 예는 도 1a도 3a에 도시된 바와 같이 다른 스택 층을 위해 사용될 수 있다.
규소-함유 필름 및 활성화된 요오드-함유 에칭 가스는 반응 챔버로부터 제거된 휘발성 부산물을 형성하기 위해 반응한다. a-C 마스크, 반사방지 코팅, 및 포토레지스트 층은 활성화된 에칭 가스와 덜 반응적이다. 이에 따라, 활성화된 에칭 가스는 휘발성 부산물을 형성하기 위해 규소-함유 필름과 선택적으로 반응한다.
반응 챔버 내의 온도 및 압력은 규소-함유 필름이 활성화된 에칭 가스와 반응하기에 적합한 조건에서 유지된다. 예를 들어, 챔버에서의 압력은 에칭 파라미터에 의해 요망되는 바와 같이, 대략 0.1 mTorr 내지 대략 1000 Torr, 바람직하게, 대략 1 mTorr 내지 대략 10 Torr, 더욱 바람직하게, 대략 10 mTorr 내지 대략 1 Torr, 및 더욱 바람직하게, 대략 10 mTorr 내지 대략 100 mTorr에서 유지될 수 있다. 마찬가지로, 챔버에서 기판 온도는 약 대략 -196℃ 내지 대략 500℃, 바람직하게, 대략 -120℃ 내지 대략 300℃, 더욱 바람직하게, 대략 -100℃ 내지 대략 50℃; 및 더욱 바람직하게, 대략 -10℃ 내지 대략 40℃의 범위일 수 있다. 챔버 벽 온도는 공정 요건에 따라, 대략 -196℃ 내지 대략 300℃의 범위일 수 있다.
규소-함유 필름과 활성화된 에칭 가스 간의 반응은 기판으로부터 규소-함유 필름의 이방성 제거를 야기시킨다. 질소, 산소 및/또는 탄소의 원자는 또한, 규소-함유 필름에 존재할 수 있다. 이러한 제거는 플라즈마 이온으로부터의 규소-함유 필름의 물리적 스퍼터링(플라즈마에 의해 가속화됨)으로 인해 및/또는 Si를 휘발성 종, 예를 들어, SiFx(여기서, x는 1 내지 4의 범위임)로 전환시키기 위한 플라즈마 종의 화학적 반응에 의한 것이다.
개시된 요오드-함유 에칭 화합물의 플라즈마 활성화된 증기는 바람직하게, 마스크에 대한 높은 선택성을 나타내고, SiO 및 SiN의 교대 층들을 통해 에칭시켜서, 3D NAND 적용에서 중요한, 보우잉 또는 거칠기가 없는 수직 에치 프로파일을 형성한다. 추가적으로, 플라즈마 활성화된 증기는 피쳐 프로파일 변형을 최소화하기 위해 측벽 상에 폴리머를 증착시킨다. 다른 적용, 예를 들어, DRAM 및 2D NAND를 위하여, 예를 들어, 상이한 공정 조건 하에서의 플라즈마 활성화된 에칭 가스는 SiN으로부터 SiO를 선택적으로 에칭시킬 수 있다. 플라즈마 활성화된 에칭 가스는 마스크 층, 예를 들어, a-C, 포토레지스트, p-Si, 또는 탄화규소로부터; 또는 금속 접촉 층, 예를 들어 Cu로부터; 또는 SiGe로 이루어진 채널 영역 또는 폴리실리콘 영역으로부터 SiO 및/또는 SiN을 선택적으로 에칭시킬 수 있다.
에칭 가스로서 개시된 요오드-함유 에칭 화합물을 사용하는 개시된 에치 공정은 규소-함유 필름에서, 채널 홀, 게이트 트렌치, 계단 콘택트, 커패시터 홀, 접촉 홀 등을 형성한다. 얻어진 애퍼처는 대략 10:1 내지 대략 200:1 범위의 종횡비 및 대략 5 nm 내지 대략 50 nm 범위의 직경을 가질 수 있다. 예를 들어, 당업자는 채널 홀 에치가 60:1보다 큰 종횡비를 갖는 애퍼처를 규소-함유 필름에서 형성함을 인지할 것이다.
또한, 플라즈마 에칭 조건 하에서 반도체 구조를 제작하기 위해 개시된 요오드-함유 에칭 화합물을 사용하는 방법이 개시된다. 개시된 방법은 Si-함유 필름을 플라즈마 에칭시키기 위한 요오드-함유 에칭 화합물의 사용을 제공한다. 개시된 방법은 또한, 기판에서 애퍼처, 홀 또는 트렌치를 형성하면서, 패턴화된 포토레지스트 마스크 층 또는 패턴 하드마스크 층에 대한 손상을 억제하거나, 패턴화된 포토레지스트 마스크 층 또는 패턴 하드마스크 층을 보호하거나, 패턴화된 포토레지스트 마스크 층 또는 패턴 하드마스크 층에 대한 손상을 최소화하거나, 패턴화된 포토레지스트 마스크 층 또는 패턴 하드마스크 층을 강화시키기는 것을 제공한다. 개시된 방법은 반도체의 제작에서, 예를 들어, 3D NAND 및 DARM 적용에서 유용하다.
패턴화된 구조를 형성하는 개시된 방법은 기판 상에 규소-함유 필름을 함유한 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 도입하는 단계로서, 요오드-함유 에칭 화합물이 CF2I2, C2F3I, C2H2FI, C2H3F2I, C2H4FI, C2F4I2, C3F5I, C3HF4I, C3H2F3I, C3HF6I, C3H2F5I, C3H3F4I, C3H4F3I, C3HF4I, C3H2F3I, C3H2F5I, C3H3F4I, 및 C3H4F3I로 이루어진 군으로부터 선택되는 단계; 반응 챔버 내에 불활성 가스를 도입하는 단계; 및 플라즈마를 활성화시켜 패턴화된 구조를 형성하기 위해 기판으로부터 규소-함유 필름을 에칭시킬 수 있는 활성화된 요오드-함유 에칭 화합물을 형성하는 단계를 포함한다.
기판에서 비아 또는 트렌치를 형성하는 동안 패턴화된 마스크 층에 대한 손상을 최소화하는 개시된 방법은 기판 상에 배치된 규소-함유 필름 및 규소-함유 층 상에 배치된 패턴화된 마스크 층을 갖는 기판을 함유한 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 도입하는 단계로서, 요오드-함유 에칭 화합물이 C2H2FI, C2H3F2I, 또는 C2H4FI로 이루어진 군으로부터 선택되는 단계; 반응 챔버 내에 불활성 가스를 도입하는 단계; 및 활성화된 요오드-함유 에칭 화합물을 형성하도록 플라즈마를 활성화시킴으로써 비아 또는 트렌치를 형성하기 위해 기판으로부터 규소-함유 필름을 에칭시키는 단계를 포함한다.
기판에서 비아 또는 트렌치를 형성하는 동안 패턴화된 마스크 층을 강화시키는 개시된 방법은 기판 상에 배치된 규소-함유 필름 및 규소-함유 층 상에 배치된 패턴화된 마스크 층을 갖는 기판을 함유한 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 도입하는 단계로서, 요오드-함유 에칭 화합물은 C2H2FI, C2H3F2I, 또는 C2H4FI로 이루어진 군으로부터 선택되는 단계; 반응 챔버 내에 불활성 가스를 도입하는 단계; 및 활성화된 요오드-함유 에칭 화합물을 형성하도록 플라즈마를 활성화시킴으로써 비아 또는 트렌치를 형성하기 위해 기판으로부터 규소-함유 필름을 에칭시키는 동안 패턴화된 마스크 내에 요오드-함유 에칭 화합물로부터의 I 이온을 삽입하는 단계를 포함한다.
에칭될 필요가 있는 통상적인 물질은 SiO일 수 있다. SiO를 에칭시키는 공정은 보로포스포실리케이트유리(BPSG), 테트라에틸오르쏘실리케이트(TEOS), 또는 저 증착률 TEOS(LDTEOS)에서 트렌치를 에칭시키는 것과 관련될 수 있다. 에치 정지 층은 질화규소 또는 산질화규소(SiON) 또는 폴리실리콘일 수 있다. 사용되는 마스크 물질은 a-C, p-Si, 또는 포토레지스트 물질일 수 있다. 본원에서, 개시된 요오드-함유 에칭 화합물은 SiO, SiN, p-Si 및/또는 a-C 기판 필름을 에칭시키기 위해 적용된다.
실시예
하기 비제한적인 실시예는 본 발명의 구현예를 추가로 예시하기 위해 제공된다. 그러나, 이러한 실시예는 모두 포괄하는 것으로 의도되지 않고, 본원에 기술된 본 발명의 범위를 제한하도록 의도되지 않는다.
실시예 1
수 개의 개시된 요오드-함유 에칭 가스의 전자 충격 이온화를 연구하기 위해 질량 분석계를 이용할 수 있다. 이러한 시험을 위하여, 에치 가스를 질량 분석계 챔버를 통해 흐르게 하고, 사중극자 질량 분석계(Hiden Analytical Inc.) 검출기를 이용하여 전자 에너지에 따른 에치 가스로부터의 단편들을 연구하였다. 하기 도면에서, x-축은 0 내지 100 eV의 전자 에너지를 나타내며, y-축은 단편 종의 Torr 단위의 부분압을 나타낸다.
도 4는 CF3I(CAS No. 2314-97-8)의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타내는 그래프이다. 10 내지 20 eV에서 형성된 지배적인 단편 또는 이온화된 생성물은 CF3, I, 및 CF3I이다.
도 5는 C2F3I(CAS No. 359-37-5)의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타내는 그래프이다. 10 내지 20 eV에서 형성된 지배적인 단편은 CFI, CF, 및 I이다.
도 6은 C2F5I(CAS No. 354-64-3)의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타내는 그래프이다. 10 내지 20 eV에서 형성된 지배적인 단편 또는 이온화된 생성물은 C2F5, C2F5I, CF3, 및 I이다.
도 7은 C2HF4I(CAS No. 354-41-6)의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타내는 그래프이다. 10 내지 20 eV에서 형성된 지배적인 단편은 C2HF4, CHF2, C2HF3, 및 I이다.
도 8은 C3F5I(CAS No. 431-65-2)의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타내는 그래프이다. 10 내지 20 eV에서 형성된 지배적인 단편은 C3F5, CF3, 및 I이다.
도 9는 C3F7I(CAS No. 754-34-7)의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타내는 그래프이다. 10 내지 20 eV에서 형성된 지배적인 단편은 CF3, C3F7, 및 I이다.
비교 실시예
개시된 요오드-함유 에칭 화합물의 일부 비-요오드 함유 유사체는 QMS 내에 조사되었으며, 데이터는 10 내지 100 eV에서 수집되었다.
도 10은 C2F6(CAS No. 76-16-4)의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타낸 그래프이다. 10 내지 20 eV에서 형성된 지배적인 단편은 CF3, C3F7, 및 I이다.
도 11은 C3F8(CAS No. 76-19-7)의 종 농도(Torr)에 대한 전자 충격 이온화 에너지(eV)를 나타낸 그래프이다.
도 10도 11의 지배적인 CF3 종은 도 5 내지 도 9의 유사한 C2 및 C3 화합물의 지배적인 종을 형성하기 위해 요구되는 이온화 에너지와 비교할 때 더 높은 이온화 에너지에서 활성화된다. 본 출원인은, 더 낮은 에너지에서 개시된 요오드-함유 에칭 화합물을 해리하는 능력이 에칭 공정을 위한 더 낮은 요망되는 플라즈마 에너지뿐만 아니라 에치 종의 증가된 해리를 제공하는 것으로 여긴다.
실시예 2
본 실시예에서, 에칭 실험을 Lam 4520XLe 200 mm Dual CCP 에칭기를 이용하여 SiO, SiN, p-Si, 및 a-C를 포함하는 4개의 상이한 기판 물질을 갖는 4개의 1×1 cm2 쿠폰 상에서 수행하였다. 에칭 시간에 따른 에치 두께의 변화를 측정함으로써 증착 및/또는 에칭률을 엘립소미터 및/또는 주사 전자 현미경(SEM)을 이용하여 측정하였다. 쿠폰을 200 mm 직경의 캐리어 웨이퍼 상에 배치시키고, 2spi 제조업체로부터 얻어진 양면 탄소 테이프를 사용함으로써 접촉을 유지시켰다. 대안적으로, 열 페이스트는 캐리어 웨이퍼 상에서 쿠폰들을 접착시키기 위해 사용될 수 있다.
증착 시험을 1×1 ㎠ Si 쿠폰 상에서 30 mTorr, 및 750 W(27 MHz)의 소스 전력으로 수행하였으며, 기판에 바이어스 전력을 가지지 않았다. 공정 공급 혼합물은 250 sccm의 Ar 및 15 sccm의 에치 가스를 함유한다. 기판 상에 형성된 중합 필름의 타입을 연구하기 위해 증착 시험 샘플을 이후에, X-선 광전자 분광법(XPS) 분석을 위해 보내었다.
에칭 시험을 또한, 30 mTorr, 750 W(27 MHz)의 소스 전력, 및 1500 W(2 MHz)의 바이어스 전력에서 수행하였다. 공급 혼합물은 250 sccm의 Ar 및 15 sccm의 에치 가스를 함유하며, O2는 0 내지 15 sccm의 범위에서 달라진다.
도 12는 증착 및 에칭 시험에서 적용된 예시적인 반응기 시스템의 예시적인 측단면도이다. 도시된 바와 같이, 반응기(800)는 반응기 챔버(802)를 포함한다. 반응기 챔버(802) 내측에서, 하부 전극(804)의 상부 상에 부착된 웨이퍼(806)는 반응기 챔버(802)의 하부 부분에 배치될 수 있으며, 실리콘 상부 전극 샤워헤드(808)는 반응기 챔버(802)의 상부 부분 상에 배치될 수 있다. 하부 전극(804)은 여기에 인가되는 바이어스 전력(bias power)을 갖는 정전 척(electrostatic chuck)일 수 있다. 예를 들어, 2 MHz RF 바이어스 전력은 하부 전극(804)에 인가될 수 있다. 웨이퍼(806)는 에칭될 필요가 있는 다수의 층을 가질 수 있다. 실리콘 상부 전극 샤워헤드(808)는 샤워헤드에서 다수의 홀(810)을 가지며, 이를 통해 가스가 통과한다. 가스는 가스 유입구(812)를 통해 반응기 챔버(802) 내로 도입될 수 있고, 이후에, 균일한 가스 분포를 위해 샤워헤드(808)에서 홀(810)을 통과할 수 있다. 소스 전력(source power)은 실리콘 상부 전극 샤워헤드(808)에 인가될 수 있다. 예를 들어, 27 MHz RF 소스 전력은 실리콘 상부 전극 샤워헤드(808)에 인가될 수 있다. 실리콘 상부 전극 샤워헤드(808)와 하부 전극(804) 사이에 플라즈마 영역이 존재한다. 샤워헤드(808)에서 홀(810)을 통과한 가스는 플라즈마 영역에서 이온화될 수 있고, 이후에, 웨이퍼(806) 상에서 에칭을 수행할 수 있다. 가스는 유출구(814)로부터 반응기 챔버(802) 밖으로 가스를 펌핑시킴으로써 제거될 수 있다.
도 13은 CF3I 및 O2로의 SiO, SiN, p-Si 및 a-C의 에칭률을 나타낸 그래프이다. 도 13에서, y-축은 에칭률을 나타내며; x-축은 sccm 단위의 O2 유량이며; CF3I 유량은 15 sccm에서 고정되며, O2 유량은 0 내지 20 sccm에서 다양하다.
도 14는 C2F3I 및 O2로의 SiO, SiN, p-Si 및 a-C의 에칭률을 나타낸 그래프이다. 도 14에서, 양의 y-축은 에칭률을 나타내며, 음의 y-축은 증착률을 나타내며; x-축은 sccm 단위의 O2 유량이며; C2F3I 유량은 15 sccm에서 고정되며, O2 유량은 0 내지 10 sccm에서 다양하다.
도 15는 C2F5I 및 O2로의 SiO, SiN, p-Si 및 a-C의 에칭률을 나타낸 그래프이다. 도 15에서, y-축은 에칭률을 나타내며; x-축은 sccm 단위의 O2 유량이며; C2F5I 유량은 15 sccm에서 고정되며, O2 유량은 0 내지 20 sccm에서 다양하다.
도 16은 C2HF4I 및 O2로의 SiO, SiN, p-Si 및 a-C의 에칭률을 나타낸 그래프이다. 도 16에서, 양의 y-축은 에칭률을 나타내며, 음의 y-축은 증착률을 나타내며; x-축은 sccm 단위의 O2 유량이며; C2HF4I 유량은 15 sccm에서 고정되며, O2 유량은 0 내지 15 sccm에서 다양하다.
도 17은 C3F5I 및 O2로의 SiO, SiN, p-Si 및 a-C의 에칭률을 나타낸 그래프이다. 도 17에서, 양의 y-축은 에칭률을 나타내며, 음의 y-축은 증착률을 나타내며; x-축은 sccm 단위의 O2 유량이며; C3F5I 유량은 15 sccm에서 고정되며, O2 유량은 0 내지 15 sccm에서 다양하다.
도 18은 C3F7I 및 O2로의 SiO, SiN, p-Si 및 a-C의 에칭률을 나타낸 그래프이다. 도 18에서, y-축은 에칭률을 나타내며; x-축은 sccm 단위의 O2 유량이며; C3F7I 유량은 15 sccm에서 고정되며, O2 유량은 0 내지 20 sccm에서 다양하다.
요오드의 첨가는 C-I의 더 약한 결합으로 인해 증가된 단편화를 제공한다. 표면에 충돌하는 I 이온의 이온화 한계값이 낮을 수록, 비정질 탄소(a-C) 또는 폴리실리콘(폴리-Si)에 대한 산화물을 에칭하기 위한 증가된 선택성이 산출된다. 도 14, 도 16 도 17은 H 또는 불포화를 갖는 중합 가스로부터 기인한 것이고, a-C, polySi, 및 SiN에 대한 산화물을 에칭시키기 위한 증착 및 무한 선택성을 나타낸 것이다. 도 13, 도 15 도 18의 포화된 분자가 증착을 나타내지 않고, 이에 따라, 무한 선택성을 가지지 않지만, 여전히, 비-요오드 함유 에치 가스보다 더 높은 선택성을 나타낸다.
실시예 3
cC4F8의 성능과 유사한, SiO의 에칭률을 향상시키기 위해, CF4는 250 sccm Ar 및 15 sccm C2F3I의 에치 가스 혼합물에 첨가된다.
도 19는 C2F3I 및 CF4로의 SiO, SiN, p-Si 및 a-C의 에칭률을 나타낸 그래프이다. 도 19에서, y-축은 에칭률을 나타내며, x-축은 sccm 단위의 CF4 흐름이다. C2F3I 유량은 15 sccm에서 고정되며, CF4 유량은 10 내지 15 sccm에서 다양하다.
도 19는 공정 가스 혼합물에 CF4를 첨가함으로써, SiO의 에칭률이 p-Si 및 a-C에 대한 양호한 선택성을 유지하면서 거의 500 nm/분까지 증가함을 나타낸다. 첨가제, 예를 들어, CxF2x+2(x는 1 내지 5임), CxF2x(x는 3 내지 5임), CxF2x-2(x는 4 내지 5임)는 산화물 에칭률을 향상시키기 위해 혼합물에 첨가될 수 있다.
도 14와 비교하여, CF4를 산소로 치환하면 처리량을 위해 중요한 SiO2 에칭률을 증가시키면서 a-C에 대한 선택성이 개선된다.
요약하면, 요오드-함유 에칭 화합물로의 SiO, SiN, p-Si 및 a-C 필름의 건식 에칭의 평가는, 요오드-함유 HFC가 종래 기술의 플루오로카본보다 질화규소 및 p-Si에 대한 산화규소의 가장 높은(무한한 정도까지) 선택성을 산출함을 나타낸다. 높은 선택성에 대한 이유는 에치 가스의 플라즈마 해리 동안 낮은 F/C 요오드 함유 단편의 형성에서 기인할 수 있으며, 이는 기판 상에 보호 폴리머 필름의 형성을 야기시킨다. 추가적으로, 요오드는 Ar, Kr, 및 Xe와 같은 불활성 가스와 유사한 중 I 이온과 함께 이온화되고 표면에 충돌한다. 본원에서 제시된 에칭 가스 결과는 접촉 에치 공정을 위해 준비되어 있을뿐만 아니라 저-k 에칭 공정을 포함하는, 규소 또는 금속 함유 기판 상의 다른 에칭 공정을 위해 유익할 수 있음을 나타낸다.
본 발명의 구현예가 도시되고 기술되었지만, 이의 변형예는 본 발명의 사상 또는 교시를 벗어나지 않고 당업자에 의해 이루어질 수 있다. 본원에 기술된 구현예는 단지 예시적인 것이고 제한적인 것은 아니다. 조성물 및 방법의 다수의 변형 및 변경이 가능하고, 본 발명의 범위 내에 속한다. 이에 따라, 보호 범위는 본원에 기술된 구현예로 제한되지 않고, 단지 하기 청구범위에 의해서만 제한되며, 청구범위는 청구항의 주제의 모든 균등물을 포함할 것이다.

Claims (15)

  1. 기판 상에 규소-함유 필름을 함유한 기판이 배치된 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 도입하는 단계로서, 요오드-함유 에칭 화합물은 C2H2FI, C2H2F3I, C3HF6I, C3H3F2I, C3H4FI, C3H5F2I, C3H6FI, C2HFI2, C2H2F2I2, C2H3FI2, C2F2I2, C3HF3I2, C3HF5I2, C3H2F4I2, C3H3F3I2, C3H4F2I2 및 C3H5FI2 로 이루어진 군으로부터 선택되는 단계;
    반응 챔버 내에 불활성 가스를 도입하는 단계;
    플라즈마를 활성화시켜 패턴화된 구조를 형성하도록 기판으로부터 규소-함유 필름을 에칭시킬 수 있는 활성화된 요오드-함유 에칭 화합물을 형성하는 단계; 및
    반응 챔버로부터 휘발성 부산물을 제거하는 단계로서, 여기서, 활성화된 요오드-함유 에칭 화합물은 휘발성 부산물을 형성하기 위해 규소-함유 필름과 반응하는 단계를 포함하는,
    패턴화된 구조를 형성하는 방법.
  2. 제1항에 있어서, 요오드-함유 에칭 화합물은 C3HF6I인 방법.
  3. 제1항에 있어서, 반응 챔버 내에 산화제를 도입하는 것을 추가로 포함하고, 여기서, 산화제가 O2, CO, CO2, NO, N2O 및 NO2로 이루어진 군으로부터 선택된 방법.
  4. 제1항에 있어서, 반응 챔버 내에 에치 가스(etch gas)를 도입하는 것을 추가로 포함하고, 상기 에치 가스가 cC4F8, cC5F8, C4F6, CF4, CH3F, CF3H, CH2F2, COS, F-C≡N, CS2, SO2, 트랜스-1,1,1,4,4,4-헥사플루오로-2-부텐(트랜스-C4H2F6), 시스-1,1,1,4,4,4-헥사플루오로-2-부텐(시스-C4H2F6), 헥사플루오로이소부텐(C4H2F6), 트랜스-1,1,2,2,3,4-헥사플루오로사이클로부탄(트랜스-C4H2F6), 1,1,2,2,3-펜타플루오로사이클로부탄(C4H3F5), 1,1,2,2-테트라플루오로사이클로부탄(C4H4F4), 및 시스-1,1,2,2,3,4-헥사플루오로사이클로부탄(시스-C4H2F6)으로 이루어진 군으로부터 선택된 방법.
  5. 제1항에 있어서, 규소-함유 필름이 산화규소, 질화규소, 폴리실리콘, 결정질 규소, 저-k SiCOH, SiOCN, SiON, SiaObHcCdNe(여기서, a는 0을 초과하며; b, c, d 및 e는 0 이상임), 또는 이들의 조합의 층을 포함하는 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 규소-함유 필름이 비정질 탄소 층 또는 포토레지스트 층으로부터 선택적으로 에칭된 방법.
  7. 제5항에 있어서, 산화규소 층이 질화규소, 폴리실리콘 또는 비정질 탄소 층으로부터 선택적으로 에칭된 방법.
  8. 제1항 내지 제5항 중 어느 한 항에 있어서, 패턴화된 구조가 규소-함유 필름에서 10:1 내지 200:1의 종횡비를 갖는, 방법.
  9. 제1항 내지 제5항 중 어느 한 항에 있어서, 패턴화된 구조가 규소-함유 필름에서 5 nm 내지 100 nm 범위의 직경을 갖는, 방법.
  10. 기판 상에 배치된 규소-함유 층 및 규소-함유 층 상에 배치된 패턴화된 마스크 층을 갖는 기판을 함유한 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 도입하는 단계로서, 요오드-함유 에칭 화합물은 C2H2FI, C2H2F3I, C3HF6I, C3H3F2I, C3H4FI, C3H5F2I, C3H6FI, C2HFI2, C2H2F2I2, C2H3FI2, C2F2I2, C3HF3I2, C3HF5I2, C3H2F4I2, C3H3F3I2, C3H4F2I2 및 C3H5FI2 로 이루어진 군으로부터 선택된 단계;
    반응 챔버 내에 불활성 가스를 도입하는 단계; 및
    활성화된 요오드-함유 에칭 화합물을 형성하도록 플라즈마를 활성화시킴으로써 비아(via) 또는 트렌치(trench)를 형성하기 위해 기판으로부터 규소-함유 층을 에칭시키는 단계를 포함하는, 기판에서 비아 또는 트렌치를 형성하는 동안 패턴화된 마스크 층에 대한 손상을 최소화하는 방법.
  11. 제10항에 있어서, 요오드-함유 에칭 화합물은 C3HF6I인 방법.
  12. 제10항 또는 제11항에 있어서, 활성화된 요오드-함유 에칭 화합물이 패턴화된 마스크 층을 강화시키는 요오드 이온을 형성하는 방법.
  13. 기판 상에 배치된 규소-함유 층 및 규소-함유 층 상에 배치된 패턴화된 마스크 층을 갖는 기판을 함유한 반응 챔버 내에 요오드-함유 에칭 화합물의 증기를 도입하는 단계로서, 요오드-함유 에칭 화합물은 C2H2FI, C2H2F3I, C3HF6I, C3H3F2I, C3H4FI, C3H5F2I, C3H6FI, C2HFI2, C2H2F2I2, C2H3FI2, C2F2I2, C3HF3I2, C3HF5I2, C3H2F4I2, C3H3F3I2, C3H4F2I2 및 C3H5FI2 로 이루어진 군으로부터 선택된 단계;
    반응 챔버 내에 불활성 가스를 도입하는 단계; 및
    활성화된 요오드-함유 에칭 화합물을 형성하도록 플라즈마를 활성화시킴으로써 비아 또는 트렌치를 형성하기 위해 기판으로부터 규소-함유 층을 에칭시키는 동안 패턴화된 마스크 내에 요오드-함유 에칭 화합물로부터의 I 이온을 삽입하는 단계를 포함하는, 기판에서 비아 또는 트렌치를 형성하는 동안 패턴화된 마스크 층을 강화시키는 방법.
  14. 제13항에 있어서, 요오드-함유 에칭 화합물은 C3HF6I인 방법.
  15. 제13항 또는 제14항에 있어서, 활성화된 요오드-함유 에칭 화합물이 패턴화된 마스크 층을 강화시키는 요오드 이온을 형성하는 방법.
KR1020237016440A 2016-12-30 2017-12-29 반도체 구조를 에칭시키기 위한 요오드-함유 화합물 KR102626466B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/396,220 2016-12-30
US15/396,220 US10607850B2 (en) 2016-12-30 2016-12-30 Iodine-containing compounds for etching semiconductor structures
PCT/US2017/069085 WO2018126206A1 (en) 2016-12-30 2017-12-29 Iodine-containing compounds for etching semiconductor structures
KR1020197020788A KR102537653B1 (ko) 2016-12-30 2017-12-29 반도체 구조를 에칭시키기 위한 요오드-함유 화합물

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197020788A Division KR102537653B1 (ko) 2016-12-30 2017-12-29 반도체 구조를 에칭시키기 위한 요오드-함유 화합물

Publications (2)

Publication Number Publication Date
KR20230070539A KR20230070539A (ko) 2023-05-23
KR102626466B1 true KR102626466B1 (ko) 2024-01-17

Family

ID=59066602

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197020788A KR102537653B1 (ko) 2016-12-30 2017-12-29 반도체 구조를 에칭시키기 위한 요오드-함유 화합물
KR1020237016440A KR102626466B1 (ko) 2016-12-30 2017-12-29 반도체 구조를 에칭시키기 위한 요오드-함유 화합물

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197020788A KR102537653B1 (ko) 2016-12-30 2017-12-29 반도체 구조를 에칭시키기 위한 요오드-함유 화합물

Country Status (7)

Country Link
US (2) US10607850B2 (ko)
EP (1) EP3563406B1 (ko)
JP (2) JP7227135B2 (ko)
KR (2) KR102537653B1 (ko)
CN (2) CN110178206B (ko)
TW (2) TWI756330B (ko)
WO (1) WO2018126206A1 (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10446681B2 (en) * 2017-07-10 2019-10-15 Micron Technology, Inc. NAND memory arrays, and devices comprising semiconductor channel material and nitrogen
US10276398B2 (en) * 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
DE102017128070B4 (de) * 2017-08-31 2023-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Ätzen zum Verringern von Bahnunregelmässigkeiten
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
JP2019050305A (ja) * 2017-09-11 2019-03-28 東芝メモリ株式会社 プラズマエッチング方法、及び、半導体装置の製造方法
US10559466B2 (en) 2017-12-27 2020-02-11 Micron Technology, Inc. Methods of forming a channel region of a transistor and methods used in forming a memory array
US10297611B1 (en) 2017-12-27 2019-05-21 Micron Technology, Inc. Transistors and arrays of elevationally-extending strings of memory cells
US10529581B2 (en) 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
JP7209567B2 (ja) * 2018-07-30 2023-01-20 東京エレクトロン株式会社 エッチング方法およびエッチング装置
KR102272823B1 (ko) * 2018-07-30 2021-07-02 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
JPWO2020129725A1 (ja) * 2018-12-21 2021-11-04 昭和電工株式会社 ハロゲンフッ化物によるエッチング方法、半導体の製造方法
US11145504B2 (en) * 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
KR20240137719A (ko) * 2019-01-23 2024-09-20 샌트랄 글래스 컴퍼니 리미티드 드라이 에칭 방법, 드라이 에칭제, 및 그 보존 용기
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
KR20210136102A (ko) 2019-03-22 2021-11-16 샌트랄 글래스 컴퍼니 리미티드 드라이 에칭 방법 및 반도체 디바이스의 제조 방법
US11521846B2 (en) * 2019-12-16 2022-12-06 Taiwan Semiconductor Manufacturing Company Limited Methods for patterning a silicon oxide-silicon nitride-silicon oxide stack and structures formed by the same
KR102664702B1 (ko) * 2020-02-14 2024-05-09 한양대학교 산학협력단 식각 선택비의 조절에 의한 미세패턴의 형성방법
FR3107280B1 (fr) * 2020-02-19 2023-01-13 Arkema France Composition comprenant un composé iodofluorocarbure
JP2021163839A (ja) * 2020-03-31 2021-10-11 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US12106971B2 (en) * 2020-12-28 2024-10-01 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
US11538919B2 (en) 2021-02-23 2022-12-27 Micron Technology, Inc. Transistors and arrays of elevationally-extending strings of memory cells
KR20220122260A (ko) * 2021-02-26 2022-09-02 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
KR20220126045A (ko) * 2021-03-08 2022-09-15 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
US20220293430A1 (en) * 2021-03-12 2022-09-15 Applied Materials, Inc. Isotropic silicon nitride removal
JP2022141138A (ja) * 2021-03-15 2022-09-29 株式会社Screenホールディングス 基板処理方法および基板処理装置
US20230193460A1 (en) * 2021-12-17 2023-06-22 American Air Liquide, Inc. Deposition of iodine-containing carbon films
US20230197465A1 (en) * 2021-12-17 2023-06-22 American Air Liquide,Inc. Oxygen and iodine-containing hydrofluorocarbon compound for etching semiconductor structures
WO2024064526A1 (en) * 2022-09-13 2024-03-28 Lam Research Corporation Method for etching features in a stack
WO2024059467A1 (en) * 2022-09-13 2024-03-21 Lam Research Corporation Method for etching features using hf gas

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040035825A1 (en) 2000-11-08 2004-02-26 Shingo Nakamura Dry etching gas and method for dry etching
US20060140836A1 (en) 2003-01-29 2006-06-29 Masakazu Oka Process for decomposing fluorine compounds
JP2008252139A (ja) 2008-07-14 2008-10-16 Philtech Inc 層間絶縁膜のドライエッチング方法
US20090176375A1 (en) 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
US20110059617A1 (en) 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
US20130029484A1 (en) 2011-07-25 2013-01-31 Daisuke Shimizu Maintaining mask integrity to form openings in wafers
US20150270135A1 (en) 2011-09-01 2015-09-24 Tel Epion Inc. Gas cluster ion beam etching process
US20150294880A1 (en) 2012-10-30 2015-10-15 Curtis Anderson Fluorocarbon molecules for high aspect ratio oxide etch

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3371143B2 (ja) * 1991-06-03 2003-01-27 ソニー株式会社 ドライエッチング方法
EP0854502A3 (en) * 1997-01-21 1998-09-02 Texas Instruments Incorporated Iodofluorocarbon gas for the etching of dielectric layers and the cleaning of process chambers
JPH10223614A (ja) 1997-02-12 1998-08-21 Daikin Ind Ltd エッチングガスおよびクリーニングガス
JP3559691B2 (ja) * 1997-09-04 2004-09-02 株式会社日立製作所 半導体装置の製造方法
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
JP3570903B2 (ja) 1998-09-25 2004-09-29 株式会社ルネサステクノロジ 半導体装置の製造方法
KR100727834B1 (ko) * 2000-09-07 2007-06-14 다이킨 고교 가부시키가이샤 드라이 에칭 가스 및 드라이 에칭 방법
JP2002319574A (ja) * 2001-04-23 2002-10-31 Nec Corp 窒化シリコン膜の除去方法
US6921725B2 (en) * 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
JP4761502B2 (ja) 2004-10-07 2011-08-31 株式会社アルバック 層間絶縁膜のドライエッチング方法
US20090191715A1 (en) * 2006-03-09 2009-07-30 Toshio Hayashi Method for etching interlayer dielectric film
TW200735206A (en) 2006-03-10 2007-09-16 Philtech Inc Method of dry etching of interlayer insulation film and etching device
US8125069B2 (en) * 2006-04-07 2012-02-28 Philtech Inc. Semiconductor device and etching apparatus
US7517804B2 (en) 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20080191163A1 (en) 2007-02-09 2008-08-14 Mocella Michael T Laser-Assisted Etching Using Gas Compositions Comprising Unsaturated Fluorocarbons
US8372756B2 (en) * 2008-08-29 2013-02-12 Air Products And Chemicals, Inc. Selective etching of silicon dioxide compositions
JP2011071223A (ja) * 2009-09-24 2011-04-07 Ulvac Japan Ltd ドライエッチング方法
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
WO2012124726A1 (ja) 2011-03-17 2012-09-20 日本ゼオン株式会社 エッチングガスおよびエッチング方法
US8512586B2 (en) 2011-09-01 2013-08-20 Tel Epion Inc. Gas cluster ion beam etching process for achieving target etch process metrics for multiple materials
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
JP6544215B2 (ja) * 2015-01-23 2019-07-17 セントラル硝子株式会社 ドライエッチング方法
US9659788B2 (en) * 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US10607850B2 (en) 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040035825A1 (en) 2000-11-08 2004-02-26 Shingo Nakamura Dry etching gas and method for dry etching
US20060140836A1 (en) 2003-01-29 2006-06-29 Masakazu Oka Process for decomposing fluorine compounds
US20090176375A1 (en) 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
JP2008252139A (ja) 2008-07-14 2008-10-16 Philtech Inc 層間絶縁膜のドライエッチング方法
US20110059617A1 (en) 2009-09-10 2011-03-10 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
US20130029484A1 (en) 2011-07-25 2013-01-31 Daisuke Shimizu Maintaining mask integrity to form openings in wafers
US20150270135A1 (en) 2011-09-01 2015-09-24 Tel Epion Inc. Gas cluster ion beam etching process
US20150294880A1 (en) 2012-10-30 2015-10-15 Curtis Anderson Fluorocarbon molecules for high aspect ratio oxide etch
JP2015533029A (ja) 2012-10-30 2015-11-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子

Also Published As

Publication number Publication date
EP3563406A4 (en) 2020-08-26
TW202229216A (zh) 2022-08-01
US20200203174A1 (en) 2020-06-25
EP3563406A1 (en) 2019-11-06
US11430663B2 (en) 2022-08-30
WO2018126206A1 (en) 2018-07-05
CN116884838A (zh) 2023-10-13
KR102537653B1 (ko) 2023-05-26
KR20230070539A (ko) 2023-05-23
CN110178206A (zh) 2019-08-27
KR20190093221A (ko) 2019-08-08
TWI756330B (zh) 2022-03-01
US10607850B2 (en) 2020-03-31
TW201825446A (zh) 2018-07-16
JP7470834B2 (ja) 2024-04-18
JP7227135B2 (ja) 2023-02-21
EP3563406B1 (en) 2024-04-24
JP2023053121A (ja) 2023-04-12
US20170178923A1 (en) 2017-06-22
JP2020515047A (ja) 2020-05-21
CN110178206B (zh) 2023-08-18

Similar Documents

Publication Publication Date Title
KR102626466B1 (ko) 반도체 구조를 에칭시키기 위한 요오드-함유 화합물
JP7079872B2 (ja) 半導体構造物上に窒素含有化合物を堆積させる方法
KR102480249B1 (ko) 에칭 기체로 반도체 구조를 에칭하는 방법
US20150294880A1 (en) Fluorocarbon molecules for high aspect ratio oxide etch
KR102546860B1 (ko) 저-k 에치 공정 동안 측벽 손상을 최소화하는 방법
TW202204297A (zh) 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物
KR20240112984A (ko) 반도체 구조를 에칭하기 위한 산소 및 요오드-함유 히드로플루오로카본 화합물

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant