CN112956002A - 包含具有不饱和键的含硫碳氟化合物的干蚀刻气体组合物和使用其的干蚀刻方法 - Google Patents

包含具有不饱和键的含硫碳氟化合物的干蚀刻气体组合物和使用其的干蚀刻方法 Download PDF

Info

Publication number
CN112956002A
CN112956002A CN201980070061.6A CN201980070061A CN112956002A CN 112956002 A CN112956002 A CN 112956002A CN 201980070061 A CN201980070061 A CN 201980070061A CN 112956002 A CN112956002 A CN 112956002A
Authority
CN
China
Prior art keywords
film
dry etching
silicon
etching
gas composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980070061.6A
Other languages
English (en)
Inventor
清水久志
加藤惟人
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kanto Denka Kogyo Co Ltd
Original Assignee
Kanto Denka Kogyo Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kanto Denka Kogyo Co Ltd filed Critical Kanto Denka Kogyo Co Ltd
Publication of CN112956002A publication Critical patent/CN112956002A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供:包含含硫不饱和化合物的、对硅系膜的层叠结构体的蚀刻用途有用的新型蚀刻气体组合物。一种干蚀刻气体组合物,其包含用通式(1):CxFySz(式中,x、y和z为2≤x≤5、y≤2x、1≤z≤2)表示的、具有不饱和键的含硫碳氟化合物。

Description

包含具有不饱和键的含硫碳氟化合物的干蚀刻气体组合物和 使用其的干蚀刻方法
技术领域
本发明涉及包含含硫碳氟化合物的干蚀刻气体组合物和使用其的干蚀刻方法。
背景技术
随着半导体装置的微细化、3D化,对蚀刻工序的要求逐年变严格。特别是,以存储器用途为代表的SiO2蚀刻、SiO2与SiN的层叠结构体的同时蚀刻、SiO2与多晶硅(poly-Si)的层叠结构体的同时蚀刻中,要求面向生产率改善的高速蚀刻、对掩模高选择比、良好的加工形状(缩颈、弓曲(bowing)的抑制、垂直形状等)等蚀刻特性。
对于包含具有不饱和键的含硫碳氟化合物的干蚀刻气体组合物,专利文献1中记载了使用含硫不饱和化合物(全氟硫化丙烯(C3F6S))的干蚀刻方法,但专利文献1涉及的是,以氧化硅与氮化硅的蚀刻去除的比率为特征的用途(SiO2/SiN选择蚀刻用途),未记载以相对于非晶碳层(ACL)选择性地蚀刻氧化硅和氮化硅为特征的用途、未记载以相对于非晶碳层(ACL)选择性地蚀刻氧化硅和多晶硅为特征的用途。专利文献2中记载了使用特定的含硫化合物的干蚀刻方法,但任意含硫化合物均为饱和化合物。
现有技术文献
专利文献
专利文献1:韩国专利10-0574923号(韩国申请公开10-2001-0010568号)
专利文献2:国际公开2015/0365381号
发明内容
发明要解决的问题
因此,本发明的课题在于,提供:包含含硫不饱和化合物的、对硅系膜的层叠结构体的蚀刻用途有用的新型蚀刻气体组合物。
用于解决问题的方案
根据本发明,提供以下的方案。
[1]
一种干蚀刻气体组合物,其包含用通式(1):CxFySz(式中,x、y和z为2≤x≤5、y≤2x、1≤z≤2)表示的、具有不饱和键的含硫碳氟化合物。
[2]
根据[1]所述的干蚀刻气体组合物,其中,前述含硫碳氟化合物为选自2,2,3,4,5,5-六氟-2,5-二氢噻吩(C4F6S)和三氟乙烯基三氟甲基硫醚(C3F6S)中的至少一种。
[3]
根据[1]或[2]所述的干蚀刻气体组合物,其中,以1~100vol%的量包含含硫碳氟化合物。
[4]
根据[1]~[3]中任一项所述的干蚀刻气体组合物,其中,除前述含硫碳氟化合物之外,还包含选自由O2、O3、CO、CO2、NO、NO2、SO2和SO3组成的组中的至少1种含氧化合物。
[5]
根据[1]~[4]中任一项所述的干蚀刻气体组合物,其中,除前述含硫碳氟化合物之外,还包含选自由N2、He、Ar、Ne和Xe组成的组中的至少1种非活性气体。
[6]
一种干蚀刻方法,其具备如下工序:使用[1]~[5]中任一项所述的干蚀刻气体组合物进行等离子体蚀刻,对包含硅的沉积物或膜进行蚀刻。
[7]
根据[6]所述的干蚀刻方法,其中,前述包含硅的沉积物或膜为包含氧和/或氮的沉积物或膜。
[8]
根据[6]或[7]所述的干蚀刻方法,其具备如下工序:针对掩模材料,对前述包含硅的沉积物或膜选择性地进行蚀刻。
[9]
一种干蚀刻方法,其包括如下工序:使用[1]~[5]中任一项所述的干蚀刻气体组合物,对(a1)包含碳的硅系膜、(a2)单晶硅膜、(a3)非晶硅膜、(a4)多晶硅膜(polysiliconfilm)、(a5)硅氧氮膜、(a6)非晶碳膜和/或(a7)光致抗蚀膜、与(b1)硅氧化膜和/或(b2)硅氮化膜的层叠结构体进行等离子体蚀刻,从而对前述层叠结构体中的(b1)硅氧化膜和/或(b2)硅氮化膜选择性地进行蚀刻。
[10]
根据[9]所述的干蚀刻方法,其中,前述层叠结构体包含(b1)硅氧化膜和(b2)硅氮化膜,相对于(b2)硅氮化膜,选择性地进行(b1)硅氧化膜的蚀刻。
[11]
一种干蚀刻方法,其包括如下工序:使用[1]~[5]中任一项所述的干蚀刻气体组合物,对(a1)包含碳的硅系膜、(a2)单晶硅膜、(a3)非晶硅膜、(a4)硅氮化膜、(a5)硅氧氮膜、(a6)非晶碳膜和/或(a7)光致抗蚀膜、与(b1)硅氧化膜和/或(b2)多晶硅膜(polysilicon film)的层叠结构体进行等离子体蚀刻,从而对前述层叠结构体中的(b1)硅氧化膜和/或(b2)多晶硅膜(polysilicon film)选择性地进行蚀刻。
[12]
根据[6]~[11]中任一项所述的干蚀刻方法,其中,以生成包含S的离子或活性种的方式将[1]~[5]中任一项所述的蚀刻气体组合物等离子体化,并进行蚀刻。
[13]
根据[6]~[11]中任一项所述的干蚀刻方法,其中,在能对(b1)硅氧化膜和(b2)硅氮化膜同时进行蚀刻的等离子体条件下,进行利用[1]~[5]中任一项所述的干蚀刻气体组合物的蚀刻。
发明的效果
根据本发明,提供包含氧化硅和氮化硅的材料与非晶碳层(ACL)的蚀刻去除的比率高的蚀刻气体组合物。因此,通过使用本发明的蚀刻气体组合物,由此提供以非晶碳层为掩模对包含氧化硅和氮化硅的材料准确地进行蚀刻的方法。
附图说明
图1为示出蚀刻试验(1)的结果的图。
图2为以ACL的蚀刻速率为基准以棒状图的形式示出蚀刻试验(1)的结果的图。
图3为示出沉积膜评价试验的结果的图。
图4为示出沉积膜评价试验中形成的沉积膜的组成的图。
图5为示出蚀刻试验(2)的结果的图。
图6为以ACL的蚀刻速率为基准以棒状图的形式示出蚀刻试验(2)的结果的图。
具体实施方式
以下,对本发明中的干蚀刻气体组合物和使用其的干蚀刻方法详细进行说明。本发明的范围在不受以下说明的范围约束的情况下,在不有损本发明的主旨的范围内可以进行变更。
本发明的干蚀刻气体组合物中包括:包含下述通式(1)所示的具有不饱和键的含硫碳氟化合物的混合气体、或单独气体。
通式(1):CxFySz
(式中,x、y和z为2≤x≤5、y≤2x、1≤z≤2)
通式(1)中,从干蚀刻气体的操作容易性的观点出发,优选使用满足x=2~4、y=4~8、z=1者。作为适合的化合物,例如可以举出:
Figure BDA0003034366870000051
2,2,3,4,5,5-六氟-2,5-二氢噻吩(C4F6S)、
Figure BDA0003034366870000052
三氟乙烯基三氟甲基硫醚(C3F6S)、
Figure BDA0003034366870000053
1,1,2,3,4,5-六氟-1,1-二氢噻吩(C4F6S)、
Figure BDA0003034366870000061
2,3-双(三氟甲基)噻丙烯(C4F6S)、
Figure BDA0003034366870000062
3,3,3-三氟-2-(三氟甲基)-1-丙烯-1-氯化亚砜(C4F6S)、
Figure BDA0003034366870000063
2,2,3,3,4,5-六氟-2,3-二氢噻吩(C4F6S)、
Figure BDA0003034366870000064
2,2,3,4,4-五氟-3-丁烯亚硫酰氟(C4F6S)、
Figure BDA0003034366870000071
2,2,3,3,3-五氟-丙烷亚硫酰氟(C3F6S)、
Figure BDA0003034366870000072
1,1,1,3,3,3-六氟-2-丙硫酮(C3F6S)、
Figure BDA0003034366870000073
1,3,3,3-四氟-2-(三氟甲基)-1-丙烯-1-磺酰氟(C4F8S)、
Figure BDA0003034366870000074
1,1,1,3,3,4,4,4-八氟-2-丁硫酮(C4F8S)、
Figure BDA0003034366870000081
2,3,4,5-四氟噻吩(C4F4S)、等。
本发明的干蚀刻气体组合物中,优选使用通式(1)所示的含硫碳氟化合物的纯度为95.0vol%~100.0vol%者。更优选使用纯度为99vol%以上者,进一步优选使用99.9vol%以上者。作为所含的杂质成分,可以举出N2、O2、CO2、H2O、HF、HCl、SO2、CH4等,但这些杂质成分中,H2O、HF、HCl、SO2等腐蚀使气体流通的通路的可能性高,因此,优选尽量通过纯化去除。
本发明的干蚀刻气体组合物中,将通式(1)所示的含硫碳氟化合物与此外的氟碳(FC)气体、氢氟烃(HFC)气体混合而使用,从而跟不与通式(1)所示的化合物混合的情况相比,可以进一步提高蚀刻对象材料对非蚀刻对象材料的选择比。另外,对由非蚀刻对象材料图案化的结构进行蚀刻的情况下,垂直加工精度也改善。
上述的由非蚀刻对象材料图案化的结构中,蚀刻对象材料为SiO2等包含氧的Si系材料的情况下,将通式(1)所示的化合物与CF4、CHF3、C2F6、C3F8、C4F8、C4F6、C5F8等蚀刻气体混合而使用时,在选择性的蚀刻、垂直加工精度良好的蚀刻方面优选。特别是,要求选择性高的情况下,优选与C的数量大的C4F8、C4F6、C5F8的混合。
由非蚀刻对象材料图案化的结构中,蚀刻对象材料为SiN等包含氮的Si系材料的情况下,将通式(1)所示的气体化合物与CHF3、CH2F2、CH3F等HFC气体混合而用于等离子体蚀刻时,在选择性的蚀刻、垂直加工精度良好的蚀刻方面优选。特别是,要求选择性高的情况下,使用C的数量为2以上的HFC气体也是有效的。
本发明的干蚀刻气体组合物中,对于包含通式(1)所示的化合物的组合物,添加选自由O2、O3、CO、CO2、NO、NO2、SO2和SO3组成的组中的至少1种含氧化合物,从而可以得到抑制过剩的沉积物(deposits)、改善蚀刻对象物的蚀刻速率、改善蚀刻对象物对非蚀刻对象材料的选择性之类的效果。
本发明的干蚀刻气体组合物中,可以对于包含通式(1)所示的化合物的组合物,添加选自由N2、He、Ar、Ne和Xe组成的组中的至少1种非活性气体。其中,优选使用He、Ar、Xe。
作为本发明的方法中使用的蚀刻气体组合物的例子,可以举出以下的组合物。
(a)通式(1)所示的化合物可以以纯度90vol%以上实施,优选以纯度99vol%以上实施,特别优选以纯度99.999vol%以上实施。
(b)蚀刻中使用的干蚀刻组合物中,通式(1)所示的化合物优选为1~100vol%。
(c)蚀刻中使用的干蚀刻组合物中,除通式(1)所示的化合物以外,优选还包含选自由O2、O3、CO、CO2、NO、NO2、SO2和SO3构成的包含氧原子的化合物组中的至少一者,特别优选使用O2。包含氧原子的化合物的比率相对于通式(1)所示的化合物与包含氧原子的化合物的总量,优选5~80%、特别优选10~65%。
(d)蚀刻中使用的干蚀刻组合物中,优选包含通式(1)所示的化合物、且包含上述包含氧原子的化合物组中的至少一者和/或选自由稀有气体或N2构成的非活性气体组中的至少一者,特别优选使用Ar。蚀刻气体组合物中所含的非活性气体的比率优选1~80vol%、特别优选50~75vol%。
本发明的干蚀刻中使用的干蚀刻装置可以没有特别限制地利用该技术领域中使用的装置。例如可以使用螺旋波方式、高频感应方式、平行平板型方式、磁控方式和微波方式等的装置等。
本发明的干蚀刻方法进行微细的Si系材料的图案晶圆的垂直加工,因此,蚀刻装置必须具备适于离子辅助蚀刻的、能重现低气体压力条件的真空容器的装置。在低压力条件下,等离子体中的颗粒的直进性提高,对基板照射的离子也到达基板而不妨碍其他颗粒,因此,对基板垂直入射的离子增加,有利于垂直加工。本发明的干蚀刻方法中,蚀刻时的真空容器内的压力优选被调节为100Torr~0.1mTorr,进一步优选被调节为100mTorr~0.1mTorr。
本发明的干蚀刻方法中,优选将通式(1)所示的化合物作为气体导入至蚀刻装置的真空容器。因此,本发明的干蚀刻方法中使用的蚀刻装置优选具备将通式(1)所示的化合物作为气体导入、进一步用于调节其导入量的机构。另外,对于该机构,本发明的等离子体蚀刻方法除通式(1)所示的气体化合物以外,根据目的还使用多种前述其他气体化合物、例如O2、Ar等是有效的,因此,优选气体导入、调节导入量的机构也具备4个以上。
实施例
本实施例(蚀刻试验(1)和(2)、沉积膜评价试验)中,作为等离子体蚀刻装置,使用SAMCO公司制平行平板型的电容耦合等离子体蚀刻装置。沉积膜的组成由SEM-EDX(扫描型电子显微镜/能量色散型X射线分光法)确定。
作为硅氧化膜(SiOm)(m表示自然数),使用通过等离子体CVD在硅晶圆上沉积SiO2膜为1000nm而成者。作为硅氮化膜(SiN),使用通过热CVD在硅晶圆上沉积SiN膜为300nm而成者。作为非晶碳膜(ACL),使用通过等离子体CVD在硅晶圆上沉积ACL为400nm而成者。
气体的流量用将温度(0℃)、压力(1atm)作为标准状态的sccm(standard CC/分钟)表现。
蚀刻时的样品膜厚用光干涉式膜厚测定器测定。蚀刻条件示于下述表1和表3。气体的蚀刻速率用以下的式子算出。
Figure BDA0003034366870000101
A/B选择比用以下的式子算出。
A/B选择比=A膜的蚀刻速率(nm/分钟)÷B膜的蚀刻速率(nm/分钟)
沉积膜(以下,称为“deposit film”)的形成在裸硅晶圆上进行。沉积膜的膜厚用扫描型电子显微镜测定。沉积膜形成和溅射的条件示于下述表2。
沉积膜形成速度和溅射速度用以下的式子算出。
Figure BDA0003034366870000111
Figure BDA0003034366870000112
[蚀刻试验(1)]
使用在硅晶圆上分别沉积有SiO2、SiN或ACL的不同的3个样品,在表1所示的条件下进行蚀刻试验。对于蚀刻气体,作为比较例,使用不含硫的全氟环丁烯(1,2,3,3,4,4-六氟-1-环丁烯(C4F6),作为本发明的实施例,使用包含硫的2,2,3,4,5,5-六氟-2,5-二氢噻吩(C4F6S)。
[表1]
表1.蚀刻试验条件(装置:SAMCO RIE-10NR)
Figure BDA0003034366870000113
将试验结果示于图1。Ar总是以40sccm(50%)流动,改变蚀刻气体的量和氧气(O2)的量。蚀刻气体的量为20sccm、氧气的量为20sccm的情况下,图1的图表的O2比成为50%,从该成分比开始测定,增加直至蚀刻气体的量为14sccm、氧气的量为26sccm的图1的图表的O2比成为65%的蚀刻气体的浓度。
ACL由碳构成,因此,实施例和比较例中,随着O2的浓度增加,蚀刻速率均增大。另一方面,可知,实施例的蚀刻气体(C4F6S)随着O2比的增加,SiO2的蚀刻速率急剧地增加,在O2比为55%(蚀刻气体的量为18sccm、氧气的量为22sccm)的时刻,SiO2的蚀刻速率成为最大(大致为70nm/分钟),但比较例中,随着O2比的增加,蚀刻速率缓慢增加,O2比为65%(蚀刻气体的量为14sccm、氧气的量为26sccm)时大致成为最大(大致为70nm/分钟)。另外,可知,实施例的蚀刻气体(C4F6S)随着O2比的增加,SiN的蚀刻速率缓慢增加,O2比为65%时大致成为最大(大致为55nm/分钟),但比较例中,随着O2比的增加,SiN的蚀刻速率进一步缓慢增加,比较例中,O2比为65%时,SiN的蚀刻速率也低于40nm/分钟。
对于实施例和比较例中得到的结果,以ACL的蚀刻速率为基准,对SiO2与SiN的蚀刻速率进行了评价。将其结果示于图2。由图2可知,比较例中,O2比为55%的较低的氧气浓度时(蚀刻气体的量为18sccm、氧气的量为22sccm),仅SiO2的蚀刻速率高,可以相对于SiN和ACL,对SiO2选择性地进行蚀刻,另一方面,可知,本发明的实施例中,O2比为55%的较低的氧气浓度时(蚀刻气体的量为18sccm、氧气的量为22sccm),SiO2的蚀刻速率进一步高,而且SiN的蚀刻速率也高,因此,可以以高于ACL的蚀刻速率对SiO2和SiN这两者进行蚀刻。另外还可知,O2比超过65%的情况下,在实施例与比较例之间蚀刻速率的差消失。
由以上的结果可知,在使用包含硫的蚀刻气体的本发明的实施例与使用不含硫的蚀刻气体的比较例之间,蚀刻的行为有显著的差异。可知,通过利用本发明的新型蚀刻行为,从而可以进行高速蚀刻与对掩模材料高选择性的兼顾。本实施例与比较例有显著性差异,相对于ACL,可以对SiO2和/或SiN选择性地进行蚀刻。另外,通过组合使用本发明的蚀刻气体与以往的蚀刻气体,从而可以改变SiO2与SiN的蚀刻速率的差值,能进行更精密的蚀刻。
[沉积膜评价试验]
用蚀刻气体对对象进行蚀刻、与蚀刻气体的分解物沉积处于竞争关系,蚀刻时,另一者形成这种沉积物。作为比较例,使用不含硫的全氟环丁烯(1,2,3,3,4,4-六氟-1-环丁烯(C4F6),作为本发明的实施例,使用包含硫的2,2,3,4,5,5-六氟-2,5-二氢噻吩(C4F6S),在以下的表2所示的条件下,形成蚀刻气体的沉积物,通过Ar溅射评价沉积物的去除处理的容易性。
[表2]
表2.沉积膜评价试验条件(装置:SAMCO RIE-200NL)
Figure BDA0003034366870000131
将沉积膜评价试验的结果示于图3和图4。可知,关于沉积速率和溅射速率,本发明的实施例均低于比较例,但对于溅射速率(SR)与沉积速率(DR)之比(SR/DR),本发明的实施例低,因此,本发明的实施例容易沉积,不易溅射。认为,这种本发明的特征是由于,图4所示的沉积膜的组成中检测到硫,因此,源自含硫膜。由该试验结果可知,本发明的蚀刻气体对SiO2、SiN等硅晶圆上的沉积层进行蚀刻,而可以形成不易去除的沉积膜。这种本发明的新型行为例如对蚀刻时的侧壁保护、掩模材料的保护等是有用的。
[蚀刻试验(2)]
使用在硅晶圆上分别沉积有SiO2、SiN或ACL的不同的3种样品,在表3所示的条件下进行蚀刻试验。对于蚀刻气体,作为比较例,使用不含硫的全氟丙烯(1,1,2,3,3,3-六氟-1-丙烯(C3F6),作为本发明的实施例,使用包含硫的三氟乙烯基三氟甲基硫醚(C3F6S)。
[表3]
表3.蚀刻试验条件(2)(装置:SAMCO RIE-10NR)
Figure BDA0003034366870000141
将试验结果示于图5。Ar总是以50sccm的量流动,蚀刻气体总是以20sccm的量流动,改变氧气(O2)的量(sccm)。氧气的量相对于蚀刻气体(x)与氧气(y)的总计(x+y)超过20%时,使ACL的蚀刻开始。
实施例的蚀刻气体(C3F6S)随着O2比的增加,SiO2的蚀刻速率急剧地增加,O2比在25%附近SiO2的蚀刻速率成为最大(大致为70nm/分钟),但比较例(C3F6)中,O2比即使增加,SiO2的蚀刻速率也基本不增加,O2比超过20%时急剧地增加。另外,实施例的蚀刻气体(C3F6S)随着O2比的增加,SiN的蚀刻速率缓慢增加,O2比超过30%时,大致成为最大(大致为55nm/分钟),但比较例中,O2比即使增加,SiN的蚀刻速率也基本不增加,O2比超过20%时,急剧地增加。
对于实施例和比较例中得到的结果,以ACL的蚀刻速率为基准评价SiO2与SiN的蚀刻速率。将其结果示于图6。由图6可知,比较例中,O2比为20%以下的较低的氧气浓度时,SiO2、SiN、ACL任意的蚀刻速率均低,而本发明的实施例中,O2比为20%以下的较低的氧气浓度时,SiO2、SiN任意的蚀刻速率也均非常高,因此,可以对SiO2和SiN这两者以高于ACL的蚀刻速率进行蚀刻。另外还可知,O2比超过30%的情况下,在实施例与比较例之间蚀刻速率之差消失。
由以上的结果可知,蚀刻试验(2)中,也与蚀刻试验(1)同样地,在使用包含硫的蚀刻气体的本发明的实施例、与使用不含硫的蚀刻气体的比较例之间,蚀刻的行为有显著的差异。即,可知,通过利用本发明的新型蚀刻行为,从而可以进行高速蚀刻与对掩模材料高选择性的兼顾。本实施例与比较例相比,具有显著性差异,可以相对于ACL对SiO2和/或SiN选择性地进行蚀刻。另外,通过组合使用本发明的蚀刻气体与以往的蚀刻气体,从而能够改变SiO2与SiN的蚀刻速率的差值,能进行更精密的蚀刻。

Claims (13)

1.一种干蚀刻气体组合物,其包含用通式(1):CxFySz表示的、具有不饱和键的含硫碳氟化合物,式中,x、y和z为2≤x≤5、y≤2x、1≤z≤2。
2.根据权利要求1所述的干蚀刻气体组合物,其中,所述含硫碳氟化合物为选自2,2,3,4,5,5-六氟-2,5-二氢噻吩(C4F6S)和三氟乙烯基三氟甲基硫醚(C3F6S)中的至少一种。
3.根据权利要求1或2所述的干蚀刻气体组合物,其中,以1~100vol%的量包含含硫碳氟化合物。
4.根据权利要求1~3中任一项所述的干蚀刻气体组合物,其中,除所述含硫碳氟化合物之外,还包含选自由O2、O3、CO、CO2、NO、NO2、SO2和SO3组成的组中的至少1种含氧化合物。
5.根据权利要求1~4中任一项所述的干蚀刻气体组合物,其中,除所述含硫碳氟化合物之外,还包含选自由N2、He、Ar、Ne和Xe组成的组中的至少1种非活性气体。
6.一种干蚀刻方法,其具备如下工序:使用权利要求1~5中任一项所述的干蚀刻气体组合物进行等离子体蚀刻,对包含硅的沉积物或膜进行蚀刻。
7.根据权利要求6所述的干蚀刻方法,其中,所述包含硅的沉积物或膜为包含氧和/或氮的沉积物或膜。
8.根据权利要求6或7所述的干蚀刻方法,其具备如下工序:针对掩模材料,对所述包含硅的沉积物或膜选择性地进行蚀刻。
9.一种干蚀刻方法,其包括如下工序:使用权利要求1~5中任一项所述的干蚀刻气体组合物,对(a1)包含碳的硅系膜、(a2)单晶硅膜、(a3)非晶硅膜、(a4)多晶硅膜(polysilicon film)、(a5)硅氧氮膜、(a6)非晶碳膜和/或(a7)光致抗蚀膜、与(b1)硅氧化膜和/或(b2)硅氮化膜的层叠结构体进行等离子体蚀刻,从而对所述层叠结构体中的(b1)硅氧化膜和/或(b2)硅氮化膜选择性地进行蚀刻。
10.根据权利要求9所述的干蚀刻方法,其中,所述层叠结构体包含(b1)硅氧化膜和(b2)硅氮化膜,相对于(b2)硅氮化膜,选择性地进行(b1)硅氧化膜的蚀刻。
11.一种干蚀刻方法,其包括如下工序:使用权利要求1~5中任一项所述的干蚀刻气体组合物,对(a1)包含碳的硅系膜、(a2)单晶硅膜、(a3)非晶硅膜、(a4)硅氮化膜、(a5)硅氧氮膜、(a6)非晶碳膜和/或(a7)光致抗蚀膜、与(b1)硅氧化膜和/或(b2)多晶硅膜(polysilicon film)的层叠结构体进行等离子体蚀刻,从而对所述层叠结构体中的(b1)硅氧化膜和/或(b2)多晶硅膜(polysilicon film)选择性地进行蚀刻。
12.根据权利要求6~11中任一项所述的干蚀刻方法,其中,以生成包含S的离子或活性种的方式将权利要求1~5中任一项所述的蚀刻气体组合物等离子体化,并进行蚀刻。
13.根据权利要求6~11中任一项所述的干蚀刻方法,其中,在能对(b1)硅氧化膜和(b2)硅氮化膜同时进行蚀刻的等离子体条件下,进行利用权利要求1~5中任一项所述的干蚀刻气体组合物的蚀刻。
CN201980070061.6A 2018-10-26 2019-10-25 包含具有不饱和键的含硫碳氟化合物的干蚀刻气体组合物和使用其的干蚀刻方法 Pending CN112956002A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2018202091 2018-10-26
JP2018-202091 2018-10-26
PCT/JP2019/041824 WO2020085468A1 (ja) 2018-10-26 2019-10-25 不飽和結合を有する硫黄含有フルオロカーボン化合物を含むドライエッチングガス組成物及びそれを用いたドライエッチング方法

Publications (1)

Publication Number Publication Date
CN112956002A true CN112956002A (zh) 2021-06-11

Family

ID=70332112

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980070061.6A Pending CN112956002A (zh) 2018-10-26 2019-10-25 包含具有不饱和键的含硫碳氟化合物的干蚀刻气体组合物和使用其的干蚀刻方法

Country Status (7)

Country Link
US (2) US11814561B2 (zh)
EP (1) EP3872841A4 (zh)
JP (1) JP7220721B2 (zh)
KR (1) KR20210083290A (zh)
CN (1) CN112956002A (zh)
TW (1) TWI808274B (zh)
WO (1) WO2020085468A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220133019A (ko) * 2021-03-24 2022-10-04 삼성전자주식회사 식각 가스 조성물, 이를 이용한 미세 패턴 형성 방법 및 수직형 반도체 장치의 제조 방법
WO2023157442A1 (ja) * 2022-02-16 2023-08-24 株式会社レゾナック エッチング方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010010568A (ko) * 1999-07-21 2001-02-15 윤종용 황 함유 탄화불소 가스를 사용하는 산화막의 건식 에칭 방법
CN103633014A (zh) * 2012-08-21 2014-03-12 中国科学院微电子研究所 半导体器件制造方法
CN105580116A (zh) * 2013-09-09 2016-05-11 乔治洛德方法研究和开发液化空气有限公司 使用蚀刻气体蚀刻半导体结构的方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3109253B2 (ja) 1992-06-29 2000-11-13 ソニー株式会社 ドライエッチング方法
JP3111661B2 (ja) * 1992-07-24 2000-11-27 ソニー株式会社 ドライエッチング方法
US7655572B2 (en) * 2005-10-24 2010-02-02 Tokyo Electron Limited Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, control program and computer storage medium
CN103531475A (zh) * 2012-07-03 2014-01-22 中国科学院微电子研究所 半导体器件及其制造方法
US9521116B2 (en) 2014-06-11 2016-12-13 Verizon Patent And Licensing Inc. Apparatus, method, and system for securing a public wireless network
JP6636250B2 (ja) 2015-02-12 2020-01-29 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
US9911620B2 (en) * 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
KR102402769B1 (ko) * 2016-01-06 2022-05-26 삼성전자주식회사 반도체 장치
US10692880B2 (en) * 2016-12-27 2020-06-23 Applied Materials, Inc. 3D NAND high aspect ratio structure etch
KR102504833B1 (ko) * 2017-11-16 2023-03-02 삼성전자 주식회사 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010010568A (ko) * 1999-07-21 2001-02-15 윤종용 황 함유 탄화불소 가스를 사용하는 산화막의 건식 에칭 방법
CN103633014A (zh) * 2012-08-21 2014-03-12 中国科学院微电子研究所 半导体器件制造方法
CN105580116A (zh) * 2013-09-09 2016-05-11 乔治洛德方法研究和开发液化空气有限公司 使用蚀刻气体蚀刻半导体结构的方法

Also Published As

Publication number Publication date
US11814561B2 (en) 2023-11-14
TWI808274B (zh) 2023-07-11
WO2020085468A1 (ja) 2020-04-30
KR20210083290A (ko) 2021-07-06
US20220135881A1 (en) 2022-05-05
JPWO2020085468A1 (ja) 2021-11-18
EP3872841A4 (en) 2022-08-03
JP7220721B2 (ja) 2023-02-10
EP3872841A1 (en) 2021-09-01
TW202033509A (zh) 2020-09-16
US11795396B2 (en) 2023-10-24
US20210391178A1 (en) 2021-12-16

Similar Documents

Publication Publication Date Title
JP6997237B2 (ja) 3d nandフラッシュメモリを製造する方法
TW201212098A (en) Engineering boron-rich films for lithographic mask applications
US11795396B2 (en) Dry etching gas composition comprising sulfur-containing fluorocarbon compound having unsaturated bond and dry etching method using the same
US20080166887A1 (en) Method of Depositing Thin Film and Method of Manufacturing Semiconductor Using the Same
CN110832623A (zh) 蚀刻方法和等离子体蚀刻材料
TWI719160B (zh) 電漿蝕刻方法
CN111742392A (zh) 抑制了氧化铝的损伤的组合物及使用了其的半导体基板的制造方法
JP6839331B2 (ja) 硫黄含有フルオロカーボン化合物を含むドライエッチングガス組成物を用いたドライエッチング方法
CN112313780B (zh) 使用了包含硫原子的气体分子的等离子体蚀刻方法
JP7357794B2 (ja) 高品質Si含有膜を形成するための超低温ALD

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination