CN109796981B - 蚀刻气体混合物、图案形成方法和集成电路装置制造方法 - Google Patents

蚀刻气体混合物、图案形成方法和集成电路装置制造方法 Download PDF

Info

Publication number
CN109796981B
CN109796981B CN201811058676.0A CN201811058676A CN109796981B CN 109796981 B CN109796981 B CN 109796981B CN 201811058676 A CN201811058676 A CN 201811058676A CN 109796981 B CN109796981 B CN 109796981B
Authority
CN
China
Prior art keywords
layer
etching
gas mixture
etching gas
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811058676.0A
Other languages
English (en)
Other versions
CN109796981A (zh
Inventor
金度勋
金兑炯
白钟敏
宋汉德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wonik Mat Co ltd
Samsung Electronics Co Ltd
Original Assignee
Wonik Mat Co ltd
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Wonik Mat Co ltd, Samsung Electronics Co Ltd filed Critical Wonik Mat Co ltd
Publication of CN109796981A publication Critical patent/CN109796981A/zh
Application granted granted Critical
Publication of CN109796981B publication Critical patent/CN109796981B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供了一种蚀刻气体混合物、一种使用该蚀刻气体混合物形成图案的方法和一种使用该蚀刻气体混合物制造集成电路装置的方法,该蚀刻气体混合物包括:C1‑C3全氟烷基次氟酸酯;以及C1‑C10有机硫化合物,在该化合物中含有C‑S键。

Description

蚀刻气体混合物、图案形成方法和集成电路装置制造方法
相关申请的交叉引用
通过引用将于2017年11月16日在韩国知识产权局提交的、名称为“Etching GasMixture,Method of Forming Pattern by Using the Same,and Method ofManufacturing Integrated Circuit Device by Using the Etching Gas Mixture(蚀刻气体混合物、通过使用蚀刻气体混合物形成图案的方法和通过使用蚀刻气体混合物制造集成电路装置的方法)”的第10-2017-0153318号韩国专利申请的全部内容并入本文。
技术领域
实施例涉及蚀刻气体混合物、通过使用该蚀刻气体混合物形成图案的方法和通过使用该蚀刻气体混合物制造集成电路(IC)装置的方法。
背景技术
随着电子技术的发展,可以快速地实现半导体装置的小型化,并且随着用于IC装置的设计规则减少,可以迅速地减小每个半导体装置的关键尺寸。
发明内容
实施例可以通过提供一种蚀刻气体混合物来实现,该蚀刻气体混合物包括:C1-C3全氟烷基次氟酸酯;以及C1-C10有机硫化合物,其含有C-S键。
实施例可以通过提供一种形成图案的方法来实现,该方法包括:使用根据一个实施例的蚀刻气体混合物来蚀刻薄层。
实施例可以通过提供一种形成图案的方法来实现,该方法包括:在薄层上形成蚀刻掩模图案,使得蚀刻掩模图案包括开口;使用从根据一个实施例的蚀刻气体混合物获得的等离子体经由开口蚀刻薄层的一部分,来形成覆盖蚀刻掩模图案的含硫钝化层;以及使用蚀刻掩模图案和含硫钝化层作为蚀刻掩模并使用从蚀刻气体混合物获得的等离子体,经由开口进一步蚀刻薄层来形成薄层图案。
实施例可以通过提供一种制造集成电路装置的方法来实现,该方法包括:在基底上形成结构,使得结构包括含硅薄层;在结构上形成光刻胶图案,使得光刻胶图案包括多个开口;通过使用从蚀刻气体混合物获得的等离子体经由多个开口蚀刻含硅薄层的一部分,来形成覆盖光刻胶图案的含硫钝化层,该蚀刻气体混合物包括C1-C3全氟烷基次氟酸酯和含有C-S键的C1-C10有机硫化合物;以及通过使用光刻胶图案和含硫钝化层作为蚀刻掩模并使用从蚀刻气体混合物获得的等离子体,经由多个开口进一步蚀刻含硅薄层来形成薄层图案。
附图说明
通过参考附图详细地描述示例性实施例,特征对于本领域的技术人员而言将变得显而易见,在附图中:
图1示出了根据一个实施例的形成图案的方法的流程图;
图2A至图2E示出了根据一个实施例的在形成图案的方法中的各阶段的剖视图;
图3示出了能够应用于形成图案的方法的等离子体蚀刻装置的示例性构造的图;
图4示出了根据一个实施例的制造IC装置的方法的流程图;
图5A、图6A、图7A、图8A和图9A示出了根据一个实施例的在制造IC装置的方法中的各阶段的平面图;
图5B、图6B、图7B、图8B和图9B分别示出了沿图5A、图6A、图7A、图8A和图9A的B-B'线截取的剖视图;
图10示出的图显示出在对Si3N4层进行等离子体蚀刻时通过在使用CF3OF气体作为蚀刻气体的情况和使用CF4气体作为蚀刻气体的情况中的每一情况下评估蚀刻速率所获得的结果;
图11示出的曲线图显示出在对Si3N4层进行等离子体蚀刻时通过在使用CF3OF气体作为蚀刻气体的情况和使用CF4气体作为蚀刻气体的情况中的每一情况下使用光学发射光谱(OES)评估气体解离特征所获得的结果;
图12示出的图显示出在对Si3N4层进行等离子体蚀刻时通过比较在使用CF3OF气体作为蚀刻气体的情况下和使用CF4气体作为蚀刻气体的情况下在蚀刻工艺中产生的氟的最大强度所获得的结果;
图13示出的图显示出在对SiO2层进行等离子体蚀刻时通过在使用CF3OF气体作为蚀刻气体的情况和使用CF4气体作为蚀刻气体的情况中的每一情况下评估蚀刻速率所获得的结果;
图14示出的曲线图显示出在对SiO2层进行等离子体蚀刻时通过在使用CF3OF气体作为蚀刻气体的情况和使用CF4气体作为蚀刻气体的情况中的每一情况下使用OES评估气体解离特征所获得的结果;
图15示出的图显示出在对SiO2层进行等离子体蚀刻时通过比较在使用CF3OF气体作为蚀刻气体的情况下和使用CF4气体作为蚀刻气体的情况下在蚀刻工艺中产生的氟的最大强度所获得的结果;
图16示出的曲线图显示出当使用根据一个实施例的蚀刻气体混合物对Si3N4层进行蚀刻工艺时通过使用OES评估气体解离特征所获得的结果;
图17示出了通过根据一个实施例的方法制造的示例性IC装置的平面布局;以及
图18A至图18H示出了根据一个实施例的在制造IC装置的方法中的各阶段的剖视图。
具体实施方式
根据一个实施例的蚀刻气体混合物可以包括例如C1-C3全氟烷基次氟酸酯(C1-C3perfluorinated alkyl hypofluorite)和含有C-S键的C1-C10有机硫化合物。
C1-C3全氟烷基次氟酸酯可以包括例如含有C1-C3氟化烷基基团和–OF基团的化合物。当蚀刻含硅(Si)薄层时,C1-C3全氟烷基次氟酸酯可以提供良好的蚀刻速率。与具有相对长的大气寿命和相对高的全球变暖潜势(GWP)指数的氟化烃或全氟化碳(例如,CF4、CHF3、C2F6、C3F8或C4F8)不同,全氟烷基次氟酸酯可以具有非常低的GWP指数“1”,并可以在空气中分解,因此几乎不会对全球变暖产生不利影响。
在一个实施方案中,全氟烷基次氟酸酯可以包括例如次氟酸三氟甲酯(CF3OF)。与氟化烃或全氟化碳相比,CF3OF可以对含Si膜提供相当高的蚀刻速率。例如,对于氮化硅,CF3OF可以提供的蚀刻速率是CF4的蚀刻速率的大约两倍。
CF3OF的分子量可以为104g/mol,并且可以与作为另一种蚀刻气体的CF4的分子量88g/mol相近,CF3OF的沸点可以为-95℃,其可以与CF4的沸点-128℃相近。
下面的反应式1示出了CF3OF和Si3N4之间的反应。
[反应式1]
2Si3N4+6CF3OF→6SiF4+4N2+3C2+3O2
如在反应式1中看到的,当蚀刻Si3N4膜时,可以有用地使用全氟烷基次氟酸酯(例如,CF3OF)。
根据一个实施例的蚀刻气体混合物可以包括C1-C3全氟烷基次氟酸酯,并且通过使用该蚀刻气体混合物可以有效地实施GWP指数低的环保型干法蚀刻工艺。
包括在根据一个实施例的蚀刻气体混合物中的有机硫化合物可以包括例如由CxHyFzSu(其中,x可以是1到10的整数,y可以是0到10的整数,z可以是1到20的整数,u可以是1到4的整数)表示的氟化烃化合物。在一个实施方案中,有机硫化合物可以包括例如不含氢原子(H)的全氟碳化合物、CH2F4S、CHF3S、CHF7S、CHFS、C2H7FS、C2H3F5S、C2H3F3S2、C2H3F3S、C2HF7S、C3H5F3S2、C3HF3S、C4H3FS、C4H7F3S、C6H2F4S、C6H5FS、C6H8F2S、C6HF5S、C7H4F4S、C7H5F3S、C7H7FS或它们的组合。
全氟碳化合物可以是分子中的通常结合到碳的全部氢原子被氟原子取代的化合物,例如,可以指分子中的全部C-H键被C-F键取代的化合物。在一个实施方案中,有机硫化合物可以包括例如下面的全氟碳化合物中的一种。
(1)CFS(一氟甲烷硫酮(methanethioyl fluoride):CAS号104959-43-5)
(2)CF2S(二氟甲烷硫酮(thiocarbonyl fluoride):CAS号420-32-6)
(3)CF3S(三氟硫甲烷(Trifluormethanthiyl-Radikal):CAS号29245-71-4)
(4)CF8S(三氟甲基硫五氟化物(trifluoromethyl sulfur pentafluoride):CAS号373-80-8)
(5)C2F4S2(三氟甲基硫代羰基氟化物(trifluoromethylmercaptothiocarbonylfluoride):CAS号371-73-3)
(6)C2F4S2(四氟-1,3-二硫五氮杂环(tetrafluoro-1,3-dithietane):CAS号1717-50-6)
(7)C2F6S(三氟-(三氟甲基硫基)甲烷(trifluoro(trifluoromethylsulfanyl)methane):CAS号371-78-8)
(8)C2F6S2(双(三氟甲基)甲烷(trifluoro-(trifluoromethyldisulfanyl)methane):CAS号372-64-5)
(9)C2F6S3(三氟-(三氟甲基硫基二硫基)甲烷(trifluoro-(trifluoromethyltrisulfanyl)methane):CAS号372-06-5)
(10)C2F6S4(三氟-(三氟甲基四硫基)甲烷(trifluoro-(trifluoromethyltetrasulfanyl)methane):CAS号372-07-6)
(11)C2F8S(二氟双(三氟甲基)硫(IV)(difluorobis(trifluoromethyl)sulfur(IV)):CAS号30341-38-9)
(12)C2F8S(1,1,2-三氟-2-五氟硫基乙烯(1,1,2-trifluoro-2-pentafluorosulfanylethene):CAS号1186-51-2)
(13)C2F10S(反式四氟双-(三氟甲基)硫(trans-tetrafluorobis-(trifluoromethyl)sulfur):CAS号42179-02-2)
(14)C2F10S(五氟(1,1,2,2,2-五氟乙基)-λ6-硫烷(pentafluoro(1,1,2,2,2-pentafluoroethyl)-λ6-sulfane):CAS号354-67-6)
(15)C3F6S3(天芥菜基异-丁酸酯(bis(trifluoromethylsulfanyl)methanethione):CAS号461-08-5)
(16)C4F16S2(十六氟-八氢-1,4-二噻烷(hexadecafluoro-octahydro-1,4-dithiane):CAS号4556-31-4)
(17)C4F6S(3,3,3-三氟-2-(三氟甲基)-1-丙烯1-硫酮(3,3,3-trifluoro-2-(trifluoromethyl)prop-1-ene-1-thione):CAS号7445-60-5)
(18)C4F6S(2,2,3,4,5,5-六氟代噻吩(2,2,3,4,5,5-hexafluorothiophene):CAS号380-40-5)
(19)C4F6S2(3,4-双(三氟甲基)-1,2-二硫环丁烯(3,4-bis(trifluoromethyl)-1,2-dithiete):CAS号360-91-8)
(20)C4F8S(八氟代四氢噻吩(octafluorotetrahydrothiophene):CAS号706-76-3)
(21)C4F8S(2,2-二氟-3,3-双(三氟甲基)硫杂丙烷(2,2-difluoro-3,3-bis(trifluoromethyl)thiirane):CAS号2261-43-0)
(22)C6F16S(全氟代环已烷五氟化硫(perfluorocyclohexanesulfurpentafluoride):CAS号1423-17-2)
在一个实施方案中,蚀刻气体混合物可以包括C1-C3全氟烷基次氟酸酯和含有C-S键的C1-C10有机硫化合物。在一个实施方案中,全氟烷基次氟酸酯可以以蚀刻气体混合物的总体积的例如大约10体积%(vol%)至大约99体积%的量存在,有机硫化合物可以以蚀刻气体混合物的总体积的例如大约1体积%至大约90体积%的量存在。
当通过使用从包括含有C-S键的C1-C10有机硫化合物的蚀刻气体混合物获得的等离子体来蚀刻氮化物层时,可以产生诸如CS、CS2、S和S2的非挥发性自由基。可以从非挥发性自由基产生覆盖光刻胶图案的表面的含硫钝化层,当蚀刻氮化物层时,使用光刻胶图案作为蚀刻掩模。含硫钝化层可以包括含有C-S键的基于碳的(例如,有机的或含有碳的)聚合物。
例如,在含有硫(S)的有机硫化合物被包括在用于蚀刻Si3N4层的蚀刻气体混合物中的情况下,可以进行由下面的反应式2表示的反应,因此,提高了Si3N4层的蚀刻速率,并产生作为反应副产物的CS自由基。
[反应式2]
2Si3N4+3CF8S→6SiF4+4N2+3CS
当正在蚀刻氮化物层时,作为含有S的反应副产物的CS可以覆盖蚀刻掩模(例如,光刻胶图案)的暴露表面,并且含S钝化层可以保护光刻胶图案,由此防止光刻胶图案变形。由于含S钝化层,氮化物层的蚀刻选择性会提高,并且由于氮化物层的蚀刻而获得的氮化物图案的蚀刻表面的线边缘粗糙度(LER)得到改善。
在一个实施方案中,根据一个实施例的蚀刻气体混合物还可以包括惰性气体。在这种情况下,根据一个实施例的蚀刻气体混合物可以包括C1-C3全氟烷基次氟酸酯、含有C-S键的C1-C10有机硫化合物和惰性气体。在一个实施方案中,可以包括蚀刻气体混合物的总体积的例如大约10体积%至大约99体积%的量的全氟烷基次氟酸酯,可以包括蚀刻气体混合物的总体积的例如大约0.1体积%至大约90体积%的量的有机硫化合物,并且可以包括蚀刻气体混合物的总体积的例如大约0.0001体积%至大约10体积%的量的惰性气体。在一个实施方案中,惰性气体可以包括例如Ar、He、Ne、N2或它们的组合。
图1示出了根据一个实施例的形成图案的方法的流程图。
图2A至图2E示出了根据一个实施例的在形成图案的方法中的各阶段的剖视图。
参照图1和图2A,在工艺P12中,可以在衬底110上形成薄层120。
衬底110可以是半导体衬底。在一个实施方案中,例如,衬底110可以包括诸如Si或锗(Ge)的半导体或者诸如SiGe、SiC、GaAs、InAs或InP的化合物半导体。
可以在衬底110上形成下部结构112,并可以在下部结构112上形成薄层120。下部结构112可以是绝缘层或导电层。在一个实施方案中,下部结构112可以包括例如金属、合金、金属碳化物、金属氮化物、金属氮氧化物、金属碳氧化物、半导体、多晶硅或它们的组合。在一个实施方案中,下部结构112可以包括例如多晶硅层或者诸如无定形碳层(ACL)或旋涂硬掩模(SOH)材料的含碳层。在一个实施方案中,包括SOH材料的含碳层可以包括例如具有与含碳层的总重量的大约85重量%至大约99重量%对应的相对高的碳含量的有机化合物。在一个实施方案中,有机化合物可以包括例如含有芳环的烃化合物或其衍生物。
在一个实施方案中,薄层120可以包括例如Si3N4层、SiO2层、SiON层、SiCN层、SiC层、SiOC层、氢化非晶氮化硅层(a-SiN:H层)或它们的组合。
参照图1和图2B,在工艺P14中,可以在薄层120上形成蚀刻掩模图案140。
可以在薄层120和蚀刻掩模图案140之间设置抗反射层130。在一个实施方案中,抗反射层130可以包括例如无机抗反射层、有机抗反射层或它们的组合。在一个实施方案中,无机抗反射层可以包括无机材料,例如钛、二氧化钛、氮化钛、氧化铬、碳、氮化硅、氮氧化硅或非晶硅。在一个实施方案中,有机抗反射层可以包括例如Si含量为大约10重量%至大约50重量%的交联聚合物。含有Si的有机抗反射层可以获自于销售的产品(例如,由ShinEtsu Chemical Co.,Ltd.销售的Sepr-Shb Aseries SiARC)。在一个实施方案中,可以省略抗反射层130。
蚀刻掩模图案140可以是光刻胶图案。在一个实施方案中,蚀刻掩模图案140可以包括例如化学放大光刻胶,其包括具有酸不稳定性基团(acid-labile group)和光生酸剂(photoacid generator,PAG)的树脂。在一个实施方案中,蚀刻掩模图案140可以包括例如KrF受激准分子激光(248nm)抗蚀剂、ArF受激准分子激光(193nm)抗蚀剂、F2受激准分子激光(157nm)抗蚀剂或极紫外(EUV)(13.5nm)抗蚀剂。
在一个实施方案中,蚀刻掩模图案140可以包括具有沿Y方向延长的平面形状的多个线条图案。蚀刻掩模图案140可以包括设置在多个线条图案中的相邻的线条图案之间的开口OP1。开口OP1的平面形状可以是沿Y方向延长的线性形状。
参照图1和图2C,在工艺P16中,可以通过经由蚀刻掩模图案140的开口OP1蚀刻抗反射层130来暴露薄层120,然后,通过使用根据一个实施例的蚀刻气体混合物150,可以蚀刻薄层120的通过开口OP1暴露的部分。
在一个实施方案中,根据一个实施例的蚀刻气体混合物150可以用于蚀刻抗反射层130和薄层120。
在一个实施方案中,用于蚀刻抗反射层130的蚀刻气体可以是具有与用于蚀刻薄层120的蚀刻气体混合物150的组成不同的组成的蚀刻气体。例如,如果抗反射层130包括含有硅的有机抗反射层,则可以通过使用包括含有CxFyHz的气体(其中,x和y可以均是1到10的整数,z可以是0到10的整数)的蚀刻气体对抗反射层130进行等离子体蚀刻。含有CxFyHz的气体可以是含有碳(C)和氟(F)的气体或者含有C、F和氢(H)的气体。例如,含有CxFyHz的气体可以包括CF4、C3F6、C4F6、C4F8、C5F8、CHF3、CH2F2或它们的组合。在一个实施方案中,蚀刻气体还可以包括惰性气体,例如氩(Ar)。
在一个实施方案中,蚀刻气体混合物150可以包括例如CF3OF和氟化烃化合物。氟化烃化合物可以表示为CxHyFzSu(其中,x可以是1到10的整数,y可以是0到10的整数,z可以是1到20的整数,u可以是1到4的整数)。如上描述了氟化烃化合物的详细示例。
在一个实施方案中,蚀刻气体混合物150可以包括例如C1-C3全氟烷基次氟酸酯和含有C-S键的C1-C10有机硫化合物。在一个实施方案中,蚀刻气体混合物150可以包括例如C1-C3全氟烷基次氟酸酯、含有C-S键的C1-C10有机硫化合物以及惰性气体。如上描述了蚀刻气体混合物150的每种成分的示例性含量。
蚀刻气体混合物150可以作为包括暴露于能量源并被激活的化学物的等离子体型被提供到薄层120。等离子体蚀刻装置可以用于利用蚀刻气体混合物150的等离子体来蚀刻薄层120。例如,反应离子蚀刻(RIE)装置、磁增强反应离子蚀刻(MERIE)装置、电感耦合等离子体(ICP)装置、变压器耦合等离子体(TCP)装置、空心阳极型等离子体装置、螺旋谐振器等离子体装置、电子回旋共振(ECR)装置等可以用于利用蚀刻气体混合物150的等离子体来蚀刻薄层120。
图3示出了用于描述能够应用于形成图案的方法的等离子体蚀刻装置的示例性构造的图。在图3中,示出了MERIE装置的示意性构造。
参照图3,可以通过使用等离子体蚀刻装置200来执行根据一个实施例的形成图案的方法。
在一个实施方案中,可以将结构WF设置在等离子体蚀刻装置200的反应室210中的卡盘212上。如图2B所示,结构WF可以是在衬底110上形成蚀刻掩模图案140的所得材料。
在反应室210中,卡盘212和喷淋头214可以彼此相对,并且可以平行地延伸。卡盘212和喷淋头214可以构成一对导电板电极。例如,可以将13.56MHz的射频(RF)功率提供给卡盘212,并且可以将喷淋头214电接地,从而可以在卡盘212和喷淋头214之间激励等离子体PM。温度控制装置216可以嵌入到卡盘212中,以将结构WF的温度保持为期望的恒定温度。为了通过使用蚀刻气体混合物150的等离子体PM来蚀刻包括在结构WF中的薄层120,可以通过温度控制装置216将结构WF的内部温度和反应室210的内部温度保持在例如大约10℃至大约240℃。在一个实施方案中,可以将反应室210的内部温度保持在大约10℃至大约100℃的温度下,例如,保持在大约10℃至大约70℃的温度下。可以通过供气管230和喷淋头214将用于蚀刻形成在衬底110上的薄层120的蚀刻气体混合物引入到反应室210中。背面冷却系统240可以连接到卡盘212。背面冷却系统240可以将冷却氦供给到卡盘212。薄层120可以被蚀刻气体混合物150的等离子体PM蚀刻,然后,可以通过使用涡轮泵经由排放口232排放留在反应室210中的非反应物质或非挥发性反应副产物。电磁线圈250可以安装在反应室210附近。
在一个实施方案中,可以将大约100W至大约1,000W的功率施加到反应室210,以蚀刻包括在结构WF中的薄层120(例如,包括在图2B中示出的所得材料中的薄层120)。在一个实施方案中,在反应室210中蚀刻薄层120的工艺可以保持大约5秒至大约5分钟。在一个实施方案中,可以基于蚀刻工艺的各种变量来调节时间。
在一个实施方案中,等离子体蚀刻装置200可以具有如图3中示出的构造。在一个实施方案中,在不脱离本公开的技术精神的情况下,可以以各种方式修改和改变该装置。
再参照图2C,当使用蚀刻气体混合物150正在蚀刻薄层120时,可以从蚀刻掩模图案140的暴露表面消耗薄层120的一部分,因此,蚀刻掩模图案140的高度和宽度会细微地减小。当持续执行使用蚀刻气体混合物150蚀刻薄层120的工艺时,在蚀刻薄层120的工艺中产生的蚀刻副产物中的诸如CS的非挥发性自由基可以被吸附到蚀刻掩模图案140的暴露表面上,因此,可以形成覆盖蚀刻掩模图案140的含S钝化层170。
例如,如果蚀刻气体混合物150包括CF3OF和CF8S,并且薄层120包括Si3N4层,则可以进行基于反应式1的反应和基于反应式2的反应。因此,当通过使用从包括含有C-S键的C1-C10有机硫化合物的蚀刻气体混合物获得的等离子体来蚀刻氮化物层时,可以基于反应式2产生包括CS的非挥发性自由基。可以从非挥发性自由基产生覆盖蚀刻掩模图案140的表面的含S钝化层170。含S钝化层170可以包括含有C-S键的有机聚合物。含S钝化层170保护蚀刻掩模图案,由此防止蚀刻掩模图案变形。含S钝化层170可以与蚀刻掩模图案140一起用作蚀刻掩模。在进一步执行蚀刻薄层120的后续工艺的同时,通过含S钝化层170提高了薄层120的蚀刻选择性。
参照图2D,在可以形成含S钝化层170之后,可以根据图1的工艺P16,通过使用从蚀刻气体混合物150获得的等离子体进一步蚀刻通过开口OP1暴露的薄层120来形成薄层图案120A。在一个实施方案中,在正在形成薄层图案120A的同时,含S钝化层170的厚度会逐渐增加。
可以在相同的室中依次执行上面参照图2C描述的形成含S钝化层170的工艺和上面参照图2D描述的形成薄层图案120A的工艺。例如,可以在图3中示出的等离子体蚀刻装置200的反应室210中原位执行形成含S钝化层170的工艺和上面参照图2D描述的形成薄层图案120A的工艺。
参照图2E,可以通过去除留在薄层图案120A上的蚀刻掩模图案140、含S钝化层170和抗反射层130来暴露薄层图案120A的顶部。在一个实施方案中,可以使用灰化工艺和剥离工艺来去除蚀刻掩模图案140、含S钝化层170和抗反射层130。在一些其他实施例中,可以通过湿蚀刻工艺来去除抗反射层130。
在通过使用蚀刻掩模图案140和含S钝化层170作为蚀刻掩模利用从蚀刻气体混合物150获得的等离子体来蚀刻薄层120而获得的薄层图案120A中,改善了薄层图案120A的沿长度方向(Y方向)延伸的侧壁120S的LER特性。
图4示出了根据一个实施例的制造IC装置的方法的流程图。
图5A至图9B示出的图显示出根据一个实施例的在制造IC装置的方法中的各阶段。图5A、图6A、图7A、图8A和图9A示出了根据一个实施例的在制造IC装置的方法中的各阶段的平面图。图5B、图6B、图7B、图8B和图9B分别示出了沿图5A、图6A、图7A、图8A和图9A的B-B'线截取的剖视图。在图5A至图9B中,与图2A至图2E中相同的附图标记指示相同的元件,并且可以省略对它们的重复描述。
参照图4、图5A和图5B,在工艺P22中,可以在衬底110上形成包括含Si薄层320的结构330。
结构330可以是用于制造IC装置的结构。例如,结构330可以是用于制造动态随机存取存储器(DRAM)、磁性RAM(MRAM)、静态RAM(SRAM)、相变RAM(PRAM)、电阻式RAM(RRAM)、铁电RAM(FRAM)、垂直通道NAND(VNAND)闪存或包括各种逻辑单元的逻辑装置的结构。
结构330可以包括形成在衬底110上的下部结构112和覆盖下部结构112的含Si薄层320。含Si薄层320可以包括具有与形成下部结构112的最上表面的部分的材料的蚀刻选择性不同的蚀刻选择性的材料。
在一个实施方案中,含Si薄层320可以包括例如Si3N4层、SiO2层、SiON层、SiCN层、SiC层、SiOC层、a-SiN:H层或它们的组合。
参照图4、图6A和图6B,在工艺P24中,可以在结构330上形成光刻胶图案340。
光刻胶图案340可以包括沿Y方向平行延伸并且彼此隔开的多个光刻胶线条图案PL,其中开口OP2位于多个光刻胶线条图案PL之间。开口OP2可以设置为多个。多个光刻胶线条图案PL和多个开口OP2中每个的平面表面可以具有沿Y方向延伸的线性形状。在一个实施方案中,多个光刻胶线条图案PL可以沿X方向以特定的节距布置。在一个实施方案中,光刻胶图案340可以包括化学放大型光刻胶。光刻胶图案340可以包括例如KrF受激准分子激光(248nm)抗蚀剂、ArF受激准分子激光(193nm)抗蚀剂、F2受激准分子激光(157nm)抗蚀剂或EUV(13.5nm)抗蚀剂。
抗反射层130可以设置在含Si薄层320和蚀刻掩模图案340之间。
参照图4、图7A和图7B,在工艺P26中,可以通过经由开口OP2蚀刻抗反射层130来暴露含Si薄层320,然后,可以通过使用从根据一个实施例的蚀刻气体混合物150获得的等离子体经由多个开口OP2蚀刻含Si薄层320的一部分来形成覆盖光刻胶图案340的含S钝化层170。
蚀刻抗反射层130的工艺参照上面参照图2C给出的描述。
在一个实施方案中,相对于蚀刻气体混合物150的总体积,蚀刻气体混合物150可以包括例如大约10体积%至大约99体积%的量的CF3OF和大约1体积%至大约90体积%的量的CF8S。在一个实施方案中,相对于蚀刻气体混合物150的总体积,蚀刻气体混合物150可以包括例如大约10体积%至大约99体积%的量的CF3OF、大约0.1体积%至大约90体积%的量的全氟碳化合物以及大约0.0001体积%至大约10体积%的量的惰性气体。蚀刻气体混合物150和含S钝化层170的详细描述参照上面参照图1和图2C给出的工艺P16的描述。
参照图4、图8A和图8B,在工艺P28中,可以通过使用蚀刻气体混合物150并且通过使用光刻胶图案340和含S钝化层170作为蚀刻掩模,经由多个开口OP2进一步蚀刻含Si薄层320来形成薄层图案320A。
当通过多个开口OP2正在蚀刻含Si薄层320时,含S钝化层170保护光刻胶图案340,由此防止光刻胶图案340变形。含S钝化层170可以与光刻胶图案340一起用作蚀刻掩模。在正在执行蚀刻含Si薄层320的后续工艺的同时,通过含S钝化层170提高了含Si薄层320的蚀刻选择性。
薄层图案320A可以包括沿Y方向延长的多个线条图案。多个线条图案可以沿X方向以特定的节距布置。
可以在相同的室中依次执行上面参照图7A和图7B描述的形成含S钝化层170的工艺和上面参照图8A和图8B描述的形成薄层图案320A的工艺。例如,可以在图3中示出的等离子体蚀刻装置200的反应室210中原位执行形成含S钝化层170的工艺和上面参照图8A和图8B描述的形成薄层图案320A的工艺。
参照图9A和图9B,可以通过使用与上面参照图2E描述的去除蚀刻掩模图案140、含S钝化层170和抗反射层130的工艺类似的方法从图8A和图8B的所得材料去除留在薄层图案320A上的光刻胶图案340、含S钝化层170和抗反射层130,来暴露薄层图案320A的顶部。
在通过使用光刻胶图案340和含S钝化层170作为蚀刻掩模利用从蚀刻气体混合物150获得的等离子体来蚀刻含Si薄层320而获得的薄层图案320A中,改善了薄层图案320A的沿长度方向(Y方向)延伸的侧壁320S的LER特性。
为了突出一个或更多个实施例的特征,提供了下面的示例和对比示例,但将理解的是,示例和对比示例不应解释为限制实施例的范围,对比示例也不应解释为在实施例的范围之外。此外,将理解的是,实施例不限于在示例和对比示例中描述的具体细节。
评估示例1
通过使用CF3OF气体对Si3N4层进行等离子体蚀刻,以评估作为能够构成根据一个实施例的蚀刻气体混合物的一种全氟烷基次氟酸酯的CF3OF的蚀刻特性。作为对比示例,将通过利用CF4气体对Si3N4层进行等离子体蚀刻所获得的结果与评估示例1进行比较。在这种情况下,为了执行等离子体蚀刻,使用在图3中示出的MERIE装置,在正在对Si3N4层进行等离子体蚀刻的同时,MERIE装置的反应室的内部保持200毫托的压力和20℃的温度,并将800W的源功率施加到反应室。在这样的条件下,在以100sccm的流率将蚀刻气体供给到反应室的同时,对Si3N4层执行等离子体蚀刻工艺30秒。
图10示出的图显示出在对Si3N4层进行等离子体蚀刻时通过在根据评估示例1使用CF3OF气体作为蚀刻气体的情况和根据对比示例使用CF4气体作为蚀刻气体的情况中的每一情况下评估蚀刻速率所获得的结果。
在图10的结果中,可以看到,在使用CF3OF气体的情况下Si3N4层的蚀刻速率是在使用CF4气体的情况下Si3N4层的蚀刻速率的大约2倍或更多倍。
图11示出的曲线图显示出在根据评估示例1通过使用CF3OF气体作为蚀刻气体对Si3N4层进行等离子体蚀刻的情况下和在根据对比示例通过使用CF4气体作为蚀刻气体对Si3N4层进行等离子体蚀刻的情况下通过使用OES评估气体解离特征所获得的结果。
图12示出的图显示出在根据评估示例1通过使用CF3OF气体作为蚀刻气体对Si3N4层进行等离子体蚀刻的情况下和在根据对比示例通过使用CF4气体作为蚀刻气体对Si3N4层进行等离子体蚀刻的情况下通过比较在蚀刻工艺中产生的氟的最大强度所获得的结果。
如在图12中看到的,在图11中产生氟自由基的658nm和742.6nm的波长下,当使用CF3OF气体时产生的氟自由基的量高于当使用CF4气体时产生的氟自由基的量。
基于图11的结果和图12的结果,可以看到,CF4难以自主地产生氟自由基,但是由于CF3OF能够积极地产生氟自由基,因此提供了良好的蚀刻速率。
评估示例2
除了蚀刻目标层使用SiO2层而不是Si3N4层来执行评估之外,以与评估示例1的方法相同的方法来评估CF3OF的蚀刻特性。作为对比示例,将利用CF4气体对SiO2层进行等离子体蚀刻所获得的结果与评估示例2进行比较。
图13示出的图显示出在对SiO2层进行等离子体蚀刻时通过在根据评估示例2使用CF3OF气体作为蚀刻气体的情况和根据对比示例使用CF4气体作为蚀刻气体的情况中的每一情况下评估蚀刻速率所获得的结果。
基于图13的结果,可以看到,与使用CF4气体的情况相比,在使用CF3OF气体的情况下,SiO2层的蚀刻速率较高。
图14示出的曲线图显示出在根据评估示例2通过使用CF3OF气体作为蚀刻气体对SiO2层进行等离子体蚀刻的情况下和根据对比示例通过使用CF4气体作为蚀刻气体对SiO2层进行等离子体蚀刻的情况下通过使用OES来评估气体解离特征所获得的结果。
图15示出的图显示出在根据评估示例2通过使用CF3OF气体作为蚀刻气体对SiO2层进行等离子体蚀刻的情况下和在根据对比示例通过使用CF4气体作为蚀刻气体对SiO2层进行等离子体蚀刻的情况下通过比较在蚀刻工艺中产生的氟的最大强度所获得的结果。
如在图15中看到的,在图14中产生氟自由基的742.6nm和780.3nm的波长下,当使用CF3OF气体时产生的氟自由基的量高于当使用CF4气体时产生的氟自由基的量。
基于图14的结果和图15的结果,可以看到,在利用CF3OF气体蚀刻SiO2层时,即使在没有单独的附加气体(例如O2气体)的情况下,仍提供了与使用CF4气体的情况类似或比使用CF4气体的情况高的蚀刻速率。
评估示例3
当通过使用根据一个实施例的蚀刻气体混合物来蚀刻Si3N4层时,执行下面的评估,以评估粗糙度特性和蚀刻选择性特性。
首先,在衬底上形成Si3N4层,并在Si3N4层上形成具有线和空间图案形式的光刻胶图案。构成光刻胶图案的多个光刻胶线条图案中每个的高度为348.6nm,多个光刻胶线条图案中的相邻的光刻胶线条图案之间的宽度为167.0nm。随后,通过使用光刻胶图案作为蚀刻掩模对Si3N4层进行等离子体蚀刻来获得包括多个线条图案的Si3N4图案。在这种情况下,为了进行等离子体蚀刻,使用图3中示出的MERIE装置,并使用CF3OF和CF8S的混合物作为蚀刻气体混合物。另外,在等离子体蚀刻Si3N4层的同时,将100毫托的压力和300W的源功率施加到MERIE装置的反应室,并供给20sccm的CF3OF和30sccm的CF8S达30秒。作为使用蚀刻气体混合物的蚀刻工艺的结果,获得了Si3N4图案,此时,留在Si3N4图案上的光刻胶图案的高度为260.7nm。在通过灰化工艺和剥离工艺去除留在Si3N4图案上的光刻胶图案之后,留在衬底上的Si3N4图案的高度为143.7nm,并且构成Si3N4图案的多个线条图案中的相邻的线条图案之间的宽度为198.4nm。另外,在通过利用原子力显微镜(AFM)测量表面粗糙度而获得的结果中,在蚀刻Si3N4层之前光刻胶图案的表面的粗糙度为大约7.24nm,在形成Si3N4图案之后留在Si3N4图案上的光刻胶图案的表面的粗糙度为大约9.527nm,并且在去除光刻胶层之后被暴露的Si3N4图案的表面的粗糙度为大约1.382nm。
对比示例1
作为对比示例1,除了使用包括CF4、CHF3和O2的混合物的对比蚀刻气体混合物之外,在与评估示例3相同的条件下执行评估。结果,在使用对比蚀刻气体混合物的蚀刻工艺获得Si3N4图案之后,留在Si3N4图案上的光刻胶图案的高度为246.1nm,并且在通过灰化工艺和剥离工艺去除留在Si3N4图案上的光刻胶图案之后,留在衬底上的Si3N4图案的高度为140.6nm,并且构成Si3N4图案的多个线条图案中的相邻的线条图案之间的宽度为196.3nm。另外,在使用对比蚀刻气体混合物的情况下通过利用AFM测量表面粗糙度而获得的结果中,在蚀刻Si3N4层之前光刻胶图案的表面的粗糙度为大约7.24nm,在形成Si3N4图案之后留在Si3N4图案上的光刻胶图案的表面的粗糙度为大约10.41nm,并且在去除光刻胶层之后被暴露的Si3N4图案的表面的粗糙度为大约1.608nm。
基于评估示例3的结果和对比示例1的结果,可以看到,在使用根据一个实施例的蚀刻气体混合物的评估示例3中,与对比示例1相比,用作蚀刻掩模的光刻胶图案的粗糙度改善了大约9.2%,并且Si3N4图案的粗糙度改善了大约16.5%。
在评估示例3和对比示例1中,在形成Si3N4图案之后比较分别留在Si3N4图案上的光刻胶图案的高度时,可以看到,在通过使用光刻胶图案作为蚀刻掩模蚀刻Si3N4图案时,与使用对比蚀刻气体混合物的蚀刻选择性相比,使用根据一个实施例的蚀刻气体混合物时的蚀刻选择性提高了大约18.9%。
在评估示例3和对比示例1中,基于在形成Si3N4图案之后通过使用扫描电子显微镜(SEM)评估构成Si3N4图案的多个线条图案的LER所获得的结果,可以看到,使用根据一个实施例的蚀刻气体混合物时的LER为大约5.26nm,使用对比蚀刻气体混合物时的LER为大约5.88nm,与使用对比蚀刻气体混合物时的LER相比,使用根据一个实施例的蚀刻气体混合物时的LER改善了大约11.7%。
评估示例4
除了代替Si3N4层而使用SiO2层作为蚀刻目标层并且通过使用光刻胶图案作为蚀刻掩模蚀刻SiO2层来形成SiO2图案之外,执行与评估示例3和对比示例1相同的工艺,在所获得的结果中,当使用根据一个实施例的蚀刻气体混合物时SiO2图案的粗糙度为大约2.375nm,当使用对比蚀刻气体混合物时SiO2图案的粗糙度为大约3.068nm。基于这样的结果,可以看到,与当使用对比蚀刻气体混合物时SiO2图案的粗糙度相比,当使用根据一个实施例的蚀刻气体混合物时SiO2图案的粗糙度改善了大约12.1%。
评估示例5
图16示出的曲线图显示出当根据评估示例5通过使用CF3OF和CF8S的混合物作为蚀刻气体混合物对Si3N4层进行蚀刻工艺时通过使用OES评估气体解离特征所获得的结果。
基于图16的结果,可以看到,当通过使用CF3OF和CF8S的混合物来蚀刻Si3N4层时,产生了S自由基、S2自由基和CS自由基。在蚀刻Si3N4层的工艺中,自由基会被吸附到用作蚀刻掩模的光刻胶图案的表面上,具体地,通过使用作为非挥发性自由基的CS自由基,含S钝化层形成在光刻胶图案的表面上,以保护光刻胶图案,由此防止光刻胶图案变形。因此,光刻胶图案和含S钝化层用作蚀刻掩模,因此,提高了Si3N4层的蚀刻选择性,并改善了Si3N4图案的LER。
图17示出了通过根据一个实施例的方法制造的示例性IC装置400的平面布局。在图17中,示出了DRAM装置的存储单元阵列区域的主要元件。
参照图17,IC装置400可以包括布置成相对于平面的X方向和Y方向沿斜线方向水平延伸的多个有源区域ACT。多条字线WL可以沿与多个有源区域ACT交叉的X方向平行地延伸。多条位线BL可以在多条字线WL上沿与X方向交叉的Y方向平行地延伸。多条位线BL可以通过直接接触DC连接到多个有源区域ACT。
多个埋置接触BC可以设置在多条位线BL中的两条相邻的位线BL之间。多个导电接地焊盘LP可以设置在多个埋置接触BC上。多个埋置接触BC和多个导电接地焊盘LP可以将设置在多条位线BL上的电容器的底电极连接到有源区域ACT。多个导电接地焊盘LP中的每个可以设置为使得其至少一部分与对应的埋置接触BC叠置。
图18A至图18H示出了通过使用上面参照图1至图9B描述的形成图案的方法和制造IC装置的方法来制造图17中示出的IC装置400的方法中的各阶段的剖视图。在图18A至图18H中,与图1至图9B中相同的附图标记指示相同的元件,并且可以省略对它们的重复描述。
参照图18A,可以在衬底110上形成绝缘层412,以限定多个有源区域110A。
与图17中示出的有源区域ACT类似,多个有源区域110A可以均具有包括短轴和长轴的相对长的岛平面形状。为了限定多个有源区域ACT,可以通过使用在上面参照图1至图2E描述的形成图案的方法中形成的薄层图案作为蚀刻掩模来蚀刻衬底110。
在一个实施方案中,绝缘层412可以包括例如氧化物、氮化物或它们的组合。绝缘层412可以由包括一种绝缘层的单个层或者包括至少三种绝缘层的组合的多个层来形成。
可以在衬底110上形成具有平行延伸的多个线性形状的多个字线沟槽。为了形成多个字线沟槽,可以通过使用在上面参照图1至图2E描述的形成图案的方法中形成的薄层图案作为蚀刻掩模来蚀刻衬底110和绝缘层412。
多个字线沟槽可以沿图17的X方向平行延伸,并可以均具有与多个有源区域110A交叉的线形状。可以在多个字线沟槽内部顺序地形成多个栅极介电层、多条字线WL(参见图17)和多个埋置绝缘层。
在一个实施方案中,在形成字线WL之后,可以通过从每条字线WL的两侧将杂质离子注入到衬底110中来在多个有源区域110A中每个的顶部上形成源极/漏极区域。在一个实施方案中,可以在形成多条字线WL之前执行用于形成源极/漏极区域的杂质离子注入工艺。
可以在衬底110上顺序地形成第一绝缘层420和第二绝缘层422。在一个实施方案中,第一绝缘层420可以包括氧化物,第二绝缘层422可以包括氮化物。
参照图18B,可以在衬底110上形成第一导电层426。第一导电层426可以包括掺杂的多晶硅。
参照图18C,可以在第一导电层426上形成硬掩模图案428,然后,可以通过使用硬掩模图案428作为蚀刻掩模来蚀刻第一导电层426。因此,可以通过蚀刻来暴露衬底110的一部分和绝缘层412的一部分,并可以通过蚀刻衬底110的暴露部分和绝缘层412的暴露部分来形成暴露衬底110的每个有源区域ACT的直接接触孔DCH。可以使用在上面参照图1至图2E描述的根据一个实施例的形成图案的方法中形成的蚀刻掩模图案来形成硬掩模图案428。
参照图18D,可以去除硬掩模图案428(参见图18C),然后,可以在直接接触孔DCH(参见图18C)内部以及在第一导电层426上形成具有足以填充直接接触孔DCH的厚度的第二导电层。可以通过回蚀第二导电层以使第二导电层仅保留在直接接触孔DCH内部,来形成包括保留在直接接触孔DCH内部的第二导电层的直接接触DC。直接接触DC可以包括掺杂的多晶硅。
参照图18E,可以在第一导电层426和直接接触DC上顺序地形成第三导电层432、第四导电层434和绝缘覆盖层436。
在一个实施方案中,第三导电层432和第四导电层434可以均包括例如TiN、TiSiN、W、硅化钨或它们的组合。在一个实施方案中,第三导电层432可以包括TiSiN,第四导电层434可以包括W。在一个实施方案中,绝缘覆盖层436可以包括氮化硅,例如,可以包括Si3N4层。
参照图18F,可以通过光刻工艺将绝缘覆盖层436图案化来形成绝缘覆盖图案436P。在一个实施方案中,可以使用在上面参照图1至图2E描述的根据一个实施例的形成图案的方法中形成的薄层图案作为蚀刻掩模,以形成绝缘覆盖图案436P。在一个实施方案中,为了形成绝缘覆盖图案436P,可以通过使用光刻胶图案作为蚀刻掩模来蚀刻绝缘覆盖层436。在这种情况下,通过使用根据一个实施例的包括全氟烷基次氟酸酯和有机硫化合物的蚀刻气体混合物,可以在上面参照图1至图2E描述的根据一个实施例的形成图案的方法中蚀刻绝缘覆盖层436。结果,可以形成具有改善的LER特性的绝缘覆盖图案436P。
随后,可以通过使用绝缘覆盖层436P作为蚀刻掩模蚀刻下部结构的一部分来形成多条位线460。为了形成多条位线460,可以通过使用绝缘覆盖图案436P作为蚀刻掩模顺序地蚀刻第四导电层434、第三导电层432、第一导电层426和直接接触DC中的每个的一部分,由此形成包括第一导电图案426P、第三导电图案432P和第四导电图案434P的多条位线460。多条位线460可以通过直接接触DC连接到衬底110的有源区域110A。
在形成多条位线460时,使用绝缘覆盖图案436P(其LER特性可以通过使用根据一个实施例的蚀刻气体混合物执行蚀刻工艺而得以改善)作为蚀刻掩模,可以将绝缘覆盖图案436P的良好的侧壁轮廓传递到多条位线460,并可以获得具有改善的LER特性的多条线状位线460。
参照图18G,可以在形成了多条位线460的所得材料的暴露顶部上形成绝缘衬层456。绝缘衬层456可以包括氮化物。
参照图18H,在图18G的所得材料中,可以在多条位线460中的相邻的位线之间的空间中形成多个埋置接触BC和连接到多个埋置接触BC的多个导电接地焊盘LP。
为了提供更详细的描述,可以在多条位线460中的每条的侧壁上形成覆盖绝缘衬层456的多个绝缘间隔物S1和S2,并且可以形成多个绝缘图案,所述多个绝缘图案可以在多条位线460中的相邻的位线之间的空间之间限定用于形成埋置接触BC的多个孔。随后,可以通过所述多个孔暴露衬底110的有源区域110A,并且可以在每个暴露的有源区域110A的表面上形成金属硅化物层461。随后,可以通过向多个孔中的每个孔内部的下部填充导电层来形成分别连接到有源区域110A的多个埋置接触BC。
在一个实施方案中,绝缘间隔物S1和S2可以均包括氧化硅、氮化硅、空气或它们的组合。在一个实施方案中,绝缘间隔物S1和S2可以由双层形成。在一个实施方案中,绝缘间隔物S1和S2可以由单个层或三个层形成。多个绝缘图案可以均包括氮化物、氧化物或它们的组合。
在一个实施方案中,金属硅化物层461可以包括硅化钴。在一个实施方案中,多个埋置接触BC可以均包括掺杂的多晶硅。在一个实施方案中,可以省略金属硅化物层461。
随后,可以在多条位线460之间的多个孔中的多个埋置接触BC上形成金属硅化物层463。在一个实施方案中,金属硅化物层463可以包括硅化钴。
随后,可以在金属硅化物层463上形成填充多个孔的内部并覆盖多条位线460的顶部的导电层466和导电阻挡层464。在一个实施方案中,导电阻挡层464可以以Ti/TiN堆叠结构形成。在一个实施方案中,导电层466可以包括掺杂的多晶硅、金属、金属硅化物、导电金属氮化物或它们的组合。
随后,在单元阵列区域中,可以在导电层466上形成暴露导电层466的一部分的掩模图案,然后,可以通过使用掩模图案作为蚀刻掩模来蚀刻导电阻挡层464的一部分、导电层466的一部分及其每个外围绝缘层的一部分,由此形成包括导电层466和导电阻挡层464的左侧部分的多个导电接地焊盘LP。多个接地焊盘LP可以具有彼此隔开的多个岛状图案的形式,如图17所示。为了形成多个导电接地焊盘LP,可以通过使用在上面参照图1至图2E描述的形成图案的方法中形成的薄层图案作为蚀刻掩模来执行蚀刻工艺。
随后,可以在单元阵列区域中形成能够电连接到多个导电接地焊盘LP的多个电容器底电极。
例如,上面已经参照图17至图18H描述了通过使用根据一个实施例的制造IC装置的方法来制造DRAM装置的工艺。在一个实施方案中,可以通过使用根据一个实施例的制造IC装置的方法来制造各种IC装置,例如MRAM装置、SRAM装置、PRAM装置、RRAM装置、FRAM装置、VNAND闪存装置和逻辑装置。
如上所述,根据一个实施例的蚀刻气体混合物可以在蚀刻含硅薄层时提供良好的蚀刻速率,该蚀刻气体混合物可以具有低的GWP指数,并且该蚀刻气体混合物几乎不会对全球变暖产生不利影响。
在根据一个实施例的形成图案的方法中,当通过使用蚀刻气体混合物蚀刻薄层时,作为蚀刻副产物的非挥发性自由基会被吸附到蚀刻掩模图案的暴露表面上,因此,可以形成覆盖蚀刻掩模图案的含硫钝化层,由此含硫钝化层可以与蚀刻掩模图案一起用作蚀刻掩模。因此,当正在对薄层进行蚀刻工艺时,通过含硫钝化层提高了薄层的蚀刻选择性。
在根据一个实施例的制造IC装置的方法中,可以通过使用形成环保型图案的方法来提供良好的蚀刻速率,并且改善了将要形成的图案的线边缘粗糙度。因此,可以精确地控制将要形成的图案的尺寸的精确度,并提高IC装置的可靠性。
通过总结和回顾,在执行用于形成实施IC装置的精细图案的干法蚀刻工艺时,除了提供良好的蚀刻速率之外,可以使用利用具有低全球变暖潜势(GWP)指数的材料代替具有高GWP指数的传统蚀刻材料来实施干法蚀刻工艺的技术。
实施例可以提供用于形成环保型精细图案的蚀刻气体混合物。
实施例可以提供一种蚀刻气体混合物、一种通过使用该蚀刻气体混合物来形成图案的方法以及一种通过使用该蚀刻气体混合物来制造IC装置的方法,它们能够形成环保型精细图案、提供良好的蚀刻速率并改善将要形成的图案的线边缘粗糙度。
本文已经公开了示例实施例,尽管采用了特定术语,但特定术语只是以一般的和描述性的意义来使用和解释,而不是出于限制目的。在一些情形下,如对本领域普通技术人员将显而易见的是,自提交本申请之时起,除非另外明确指出,否则结合具体实施例描述的特征、特性和/或元件可以单独使用或者与结合其它实施例描述的特征、特性和/或元件组合使用。因此,本领域技术人员将理解的是,在不脱离如所附的权利要求中阐述的本发明的精神和范围的情况下,可以做出形式和细节方面的各种变化。

Claims (20)

1.一种蚀刻气体混合物,所述蚀刻气体混合物包括:
C1-C3全氟烷基次氟酸酯;以及
C1-C10有机硫化合物,所述C1-C10有机硫化合物含有C-S键,
其中,所述C1-C10有机硫化合物是含有C-S键并且不含氢原子的全氟碳化合物,并且
其中,含有C-S键的所述全氟碳化合物包括CFS、CF2S、CF3S、C2F6S、C2F6S2、C2F6S3、C2F6S4、CAS号为30341-38-9的C2F8S、CAS号为1186-51-2的C2F8S、CAS号为42179-02-2的C2F10S、CAS号为354-67-6的C2F10S、C3F6S3、C4F16S2、CAS号为7445-60-5的C4F6S、CAS号为380-40-5的C4F6S、C4F6S2、CAS号为706-76-3的C4F8S、CAS号为2261-43-0的C4F8S或C6F16S。
2.根据权利要求1所述的蚀刻气体混合物,其中,所述C1-C3全氟烷基次氟酸酯包括CF3OF。
3.根据权利要求1所述的蚀刻气体混合物,其中,所述蚀刻气体混合物包括:
10体积%至99体积%的所述C1-C3全氟烷基次氟酸酯,以及
1体积%至90体积%的所述C1-C10有机硫化合物,所有的体积%基于所述蚀刻气体混合物的总体积。
4.根据权利要求1所述的蚀刻气体混合物,所述蚀刻气体混合物还包括惰性气体。
5.根据权利要求4所述的蚀刻气体混合物,其中,所述蚀刻气体混合物包括:
10体积%至99体积%的所述C1-C3全氟烷基次氟酸酯,
0.1体积%至90体积%的所述C1-C10有机硫化合物,以及
0.0001体积%至10体积%的所述惰性气体,所有的体积%基于所述蚀刻气体混合物的总体积,并且各组分的体积%之和为100体积%。
6.一种形成图案的方法,所述方法包括:使用根据权利要求1所述的蚀刻气体混合物来蚀刻薄层。
7.根据权利要求6所述的方法,其中,所述薄层包括含有硅的层。
8.根据权利要求6所述的方法,其中,所述薄层包括Si3N4层、SiO2层、SiON层、SiCN层、SiC层、SiOC层、氢化非晶氮化硅层或它们的组合。
9.根据权利要求6所述的方法,其中,在所述蚀刻气体混合物中:
所述C1-C3全氟烷基次氟酸酯包括CF3OF。
10.根据权利要求6所述的方法,其中:
所述蚀刻气体混合物还包括惰性气体,
所述C1-C3全氟烷基次氟酸酯包括CF3OF。
11.根据权利要求6所述的方法,其中,在所述蚀刻气体混合物中:
所述C1-C3全氟烷基次氟酸酯包括CF3OF,并且
所述C1-C10有机硫化合物包括CF8S。
12.根据权利要求6所述的方法,其中,蚀刻所述薄层是在10℃至100℃的温度下执行的。
13.根据权利要求6所述的方法,其中,蚀刻所述薄层包括:使用通过向所述蚀刻气体混合物施加能量而获得的等离子体来蚀刻所述薄层。
14.一种形成图案的方法,所述方法包括:
在薄层上形成蚀刻掩模图案,使得所述蚀刻掩模图案包括开口;
使用从根据权利要求1所述的蚀刻气体混合物获得的等离子体,经由所述开口蚀刻所述薄层的一部分,来形成覆盖所述蚀刻掩模图案的含硫钝化层;以及
使用所述蚀刻掩模图案和所述含硫钝化层作为蚀刻掩模并使用从所述蚀刻气体混合物获得的等离子体,经由所述开口进一步蚀刻所述薄层,来形成薄层图案。
15.根据权利要求14所述的方法,其中,所述C1-C3全氟烷基次氟酸酯包括CF3OF。
16.根据权利要求14所述的方法,其中,在一个反应室中原位执行形成所述含硫钝化层的步骤和形成所述薄层图案的步骤。
17.根据权利要求14所述的方法,其中,所述含硫钝化层包括含有C-S键的有机聚合物。
18.根据权利要求14所述的方法,其中:
所述薄层包括Si3N4层、SiO2层、SiON层、SiCN层、SiC层、SiOC层、氢化非晶氮化硅层或它们的组合,并且
所述蚀刻掩模图案是光刻胶图案。
19.一种制造集成电路装置的方法,所述方法包括:
在基底上形成结构,使得所述结构包括含硅薄层;
在所述结构上形成光刻胶图案,使得所述光刻胶图案包括多个开口;
通过使用从蚀刻气体混合物获得的等离子体经由所述多个开口蚀刻所述含硅薄层的一部分,来形成覆盖所述光刻胶图案的含硫钝化层,所述蚀刻气体混合物包括C1-C3全氟烷基次氟酸酯和含有C-S键的C1-C10有机硫化合物,
其中,所述C1-C10有机硫化合物是含有C-S键并且不含氢原子的全氟碳化合物,并且
其中,含有C-S键的所述全氟碳化合物包括CFS、CF2S、CF3S、C2F6S、C2F6S2、C2F6S3、C2F6S4、CAS号为30341-38-9的C2F8S、CAS号为1186-51-2的C2F8S、CAS号为42179-02-2的C2F10S、CAS号为354-67-6的C2F10S、C3F6S3、C4F16S2、CAS号为7445-60-5的C4F6S、CAS号为380-40-5的C4F6S、C4F6S2、CAS号为706-76-3的C4F8S、CAS号为2261-43-0的C4F8S或C6F16S;以及
通过使用所述光刻胶图案和所述含硫钝化层作为蚀刻掩模并使用从所述蚀刻气体混合物获得的等离子体,经由所述多个开口进一步蚀刻所述含硅薄层来形成薄层图案。
20.根据权利要求19所述的方法,其中:
所述光刻胶图案包括平行延伸的多个光刻胶线条图案,并且
所述薄层图案包括多个线条图案。
CN201811058676.0A 2017-11-16 2018-09-11 蚀刻气体混合物、图案形成方法和集成电路装置制造方法 Active CN109796981B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020170153318A KR102504833B1 (ko) 2017-11-16 2017-11-16 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법
KR10-2017-0153318 2017-11-16

Publications (2)

Publication Number Publication Date
CN109796981A CN109796981A (zh) 2019-05-24
CN109796981B true CN109796981B (zh) 2022-11-22

Family

ID=66431401

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811058676.0A Active CN109796981B (zh) 2017-11-16 2018-09-11 蚀刻气体混合物、图案形成方法和集成电路装置制造方法

Country Status (3)

Country Link
US (1) US10872784B2 (zh)
KR (1) KR102504833B1 (zh)
CN (1) CN109796981B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6639732B2 (ja) * 2017-03-27 2020-02-05 株式会社アルバック 電子部品の製造方法
TW202024047A (zh) * 2018-10-26 2020-07-01 日商關東電化工業股份有限公司 含有含硫氟碳化合物之乾蝕刻氣體組成物及使用其之乾蝕刻方法
TWI808274B (zh) * 2018-10-26 2023-07-11 日商關東電化工業股份有限公司 含有具有不飽和鍵之含硫氟碳化合物的乾式蝕刻氣體組成物及使用其之乾式蝕刻方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06151384A (ja) * 1992-10-31 1994-05-31 Sony Corp ドライエッチング方法
JPH06258815A (ja) * 1992-07-21 1994-09-16 Sony Corp 位相シフト・マスクの製造方法
US5376234A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
JPH07211694A (ja) * 1994-01-13 1995-08-11 Sony Corp ドライエッチング方法
KR20010010568A (ko) * 1999-07-21 2001-02-15 윤종용 황 함유 탄화불소 가스를 사용하는 산화막의 건식 에칭 방법
KR20010105680A (ko) * 2000-05-17 2001-11-29 박종섭 이미지 신호 처리 장치에서의 블록 분포도를 이용한분포도 평탄화장치
CN101800160A (zh) * 2008-12-26 2010-08-11 东京毅力科创株式会社 基板处理方法
CN103003925A (zh) * 2010-07-12 2013-03-27 中央硝子株式会社 干蚀刻剂以及干蚀刻方法
CN105580116A (zh) * 2013-09-09 2016-05-11 乔治洛德方法研究和开发液化空气有限公司 使用蚀刻气体蚀刻半导体结构的方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0272143B1 (en) 1986-12-19 1999-03-17 Applied Materials, Inc. Bromine etch process for silicon
JP3248222B2 (ja) 1991-06-18 2002-01-21 ソニー株式会社 ドライエッチング方法
JP2904723B2 (ja) 1995-04-21 1999-06-14 セントラル硝子株式会社 クリーニングガス
JP4127869B2 (ja) 1995-09-28 2008-07-30 三井化学株式会社 乾式エッチング方法
SG72905A1 (en) * 1997-12-18 2000-05-23 Central Glass Co Ltd Gas for removing deposit and removal method using same
JP2000038581A (ja) 1998-07-22 2000-02-08 Central Glass Co Ltd エッチングガス
JP2000265275A (ja) 1999-03-15 2000-09-26 Central Glass Co Ltd クリーニング方法
US6703319B1 (en) * 1999-06-17 2004-03-09 Micron Technology, Inc. Compositions and methods for removing etch residue
JP2001153996A (ja) 1999-11-26 2001-06-08 Mitsubishi Heavy Ind Ltd 汚染物質除去方法およびその装置
JP2002184765A (ja) 2000-12-18 2002-06-28 Central Glass Co Ltd クリーニングガス
JP2003297757A (ja) 2002-03-29 2003-10-17 Central Glass Co Ltd 成膜装置のクリーニング方法
US20040055621A1 (en) 2002-09-24 2004-03-25 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US20050011859A1 (en) * 2003-07-15 2005-01-20 Bing Ji Unsaturated oxygenated fluorocarbons for selective aniostropic etch applications
JP4483234B2 (ja) 2003-09-01 2010-06-16 コニカミノルタホールディングス株式会社 大気圧プラズマ処理装置のクリーニング方法及び大気圧プラズマ処理装置
US7055263B2 (en) 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US7919141B2 (en) 2004-03-31 2011-04-05 Kanto Denka Kogyo Co., Ltd. Processes and equipments for preparing F2-containing gases, as well as process and equipments for modifying the surfaces of articles
US7581549B2 (en) 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US20060017043A1 (en) 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
US20070006893A1 (en) 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
JP2007300012A (ja) * 2006-05-02 2007-11-15 Seiko Epson Corp 金属配線形成方法、アクティブマトリクス基板の製造方法、デバイス及び電気光学装置並びに電子機器
JP2011501874A (ja) 2007-09-14 2011-01-13 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド Mems製造において使用されるエッチングプロセス
JP2010041028A (ja) 2008-07-11 2010-02-18 Tokyo Electron Ltd 基板処理方法
US8372756B2 (en) 2008-08-29 2013-02-12 Air Products And Chemicals, Inc. Selective etching of silicon dioxide compositions
JP5758065B2 (ja) * 2009-03-25 2015-08-05 関東化学株式会社 金とニッケルの選択エッチング液
JP2012026020A (ja) 2010-07-28 2012-02-09 Central Glass Co Ltd 平行平板電極のプラズマクリーニング方法
JP2012174922A (ja) * 2011-02-22 2012-09-10 Central Glass Co Ltd クリーニングガス及びそれを用いたリモートプラズマクリーニング方法
JP6063206B2 (ja) * 2012-10-22 2017-01-18 富士フイルム株式会社 エッチング液、これを用いたエッチング方法及び半導体素子の製造方法
JP6017273B2 (ja) * 2012-11-14 2016-10-26 富士フイルム株式会社 半導体基板のエッチング方法及び半導体素子の製造方法
JP2014103179A (ja) * 2012-11-16 2014-06-05 Fujifilm Corp 半導体基板のエッチング液、これを用いたエッチング方法及び半導体素子の製造方法
JP6198384B2 (ja) * 2012-11-28 2017-09-20 富士フイルム株式会社 半導体基板のエッチング方法及び半導体素子の製造方法
TWI733431B (zh) * 2014-06-18 2021-07-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於tsv/mems/功率元件蝕刻的化學物質
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
JP6788177B2 (ja) 2015-05-14 2020-11-25 セントラル硝子株式会社 ドライエッチング方法、ドライエッチング剤及び半導体装置の製造方法
KR102374049B1 (ko) * 2015-06-02 2022-03-14 삼성전자주식회사 포토레지스트를 이용한 패턴 형성 방법
US11034847B2 (en) * 2017-07-14 2021-06-15 Samsung Electronics Co., Ltd. Hardmask composition, method of forming pattern using hardmask composition, and hardmask formed from hardmask composition
US10347540B1 (en) * 2017-12-14 2019-07-09 International Business Machines Corporation Gate cut using selective deposition to prevent oxide loss

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376234A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
JPH06258815A (ja) * 1992-07-21 1994-09-16 Sony Corp 位相シフト・マスクの製造方法
JPH06151384A (ja) * 1992-10-31 1994-05-31 Sony Corp ドライエッチング方法
JPH07211694A (ja) * 1994-01-13 1995-08-11 Sony Corp ドライエッチング方法
KR20010010568A (ko) * 1999-07-21 2001-02-15 윤종용 황 함유 탄화불소 가스를 사용하는 산화막의 건식 에칭 방법
KR20010105680A (ko) * 2000-05-17 2001-11-29 박종섭 이미지 신호 처리 장치에서의 블록 분포도를 이용한분포도 평탄화장치
CN101800160A (zh) * 2008-12-26 2010-08-11 东京毅力科创株式会社 基板处理方法
CN103003925A (zh) * 2010-07-12 2013-03-27 中央硝子株式会社 干蚀刻剂以及干蚀刻方法
CN105580116A (zh) * 2013-09-09 2016-05-11 乔治洛德方法研究和开发液化空气有限公司 使用蚀刻气体蚀刻半导体结构的方法

Also Published As

Publication number Publication date
KR20190056162A (ko) 2019-05-24
US20190148167A1 (en) 2019-05-16
US10872784B2 (en) 2020-12-22
CN109796981A (zh) 2019-05-24
KR102504833B1 (ko) 2023-03-02

Similar Documents

Publication Publication Date Title
CN107278324B (zh) 用于图案化的掩模蚀刻
KR100780944B1 (ko) 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
US7235478B2 (en) Polymer spacer formation
US7749913B2 (en) Semiconductor device manufacturing method
US9508560B1 (en) SiARC removal with plasma etch and fluorinated wet chemical solution combination
CN109796981B (zh) 蚀刻气体混合物、图案形成方法和集成电路装置制造方法
US7199429B2 (en) Semiconductor device having an organic anti-reflective coating (ARC) and method therefor
JP5634664B2 (ja) 限界寸法収縮の制御されたエッチングプロセス
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
KR20150131165A (ko) 초-콘포말한 탄소 막 증착
KR20030025174A (ko) N₂플라즈마기체 및 n₂/h₂플라즈마기체의 두 단계에싱과정을 포함한 반도체장치 제조방법
US11631592B2 (en) Etching process with in-situ formation of protective layer
US11854798B2 (en) Semiconductor device and method
KR20220126045A (ko) 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
US10998225B2 (en) Semiconductor device and method of forming the same
KR102244885B1 (ko) 높은 선택비를 갖는 식각 가스 조성물과 이를 이용한 반도체 메모리 소자의 제조 공정
US20150255303A1 (en) Hard mask removal scheme
KR20210127957A (ko) 반도체 장치의 제조 방법
Chen et al. Sub-20 nm trench patterning with a hybrid chemical shrink and SAFIER process
KR20090044855A (ko) 반도체 소자의 제조방법
US20080076257A1 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant