KR20010033793A - 플루오르화 카보닐 화합물을 이용한 에칭 및 세정방법 - Google Patents

플루오르화 카보닐 화합물을 이용한 에칭 및 세정방법 Download PDF

Info

Publication number
KR20010033793A
KR20010033793A KR1020007007339A KR20007007339A KR20010033793A KR 20010033793 A KR20010033793 A KR 20010033793A KR 1020007007339 A KR1020007007339 A KR 1020007007339A KR 20007007339 A KR20007007339 A KR 20007007339A KR 20010033793 A KR20010033793 A KR 20010033793A
Authority
KR
South Korea
Prior art keywords
etching
compound
composition
group
etch
Prior art date
Application number
KR1020007007339A
Other languages
English (en)
Other versions
KR100603654B1 (ko
Inventor
데민티모시알
루리매튜에이취.
파티뮬라모함드에이.
Original Assignee
크리스 로저 에이치
알라이드시그날 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 크리스 로저 에이치, 알라이드시그날 인코포레이티드 filed Critical 크리스 로저 에이치
Publication of KR20010033793A publication Critical patent/KR20010033793A/ko
Application granted granted Critical
Publication of KR100603654B1 publication Critical patent/KR100603654B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

GWP가 약3000이하이고
식F-CO-[(CR1R2)m-CO]n-F 및 F-CO-R3-CO-F로 이루어진 그룹으로부터 선택된 최소 하나의 식각 화합물을 포함하여 이루어지며,
(단, 여기서, m은 0,1,2,3,4 혹은 5이며;
n은 1이며;
R1및 R2는 H,F 혹은 CxHyFz를 나타내며, 이중 x는 1혹은 2이고; y+z=2x+1이며; 그리고
R3는 CR4=CR5, R6R7C=C 혹은 C≡C를 나타내며, 이중 R4-7은 H,F 혹은 CxHyFz를 나타내며, 여기서 x는 1 혹은 2이며, y+z=2x+1이다) 에칭 조성물을 사용하여 플라즈마 에칭 조건하에 재료를 에칭하는 방법; 및
상기 식각 화합물을 사용하여 표면을 세정하는 방법, 및 나아가 상기 식각 화합물과 또한 식각 변형제를 포함하는 에칭 조성물이 제공된다.

Description

플루오르화 카보닐 화합물을 이용한 에칭 및 세정 방법{METHOD OF ETCHING AND CLEANING USING FLUORINATED CARBONYL COMPOUNDS}
반도체 장치는 웨이퍼에 대한 4가지 기본 작동, 즉; 레이어링(layering), 패턴화/에칭, 도핑 및 열처리를 반복적으로 적용하여 제조된다. 본 명세서에서 특히 관심있는 것은 웨이퍼의 표면으로 부터 물질을 선택적으로 제거함을 포함하는 패턴화/에칭이다. 보다 상세하게는, 웨이퍼의 표면에 포토레지스를 특정 기하학적 패턴으로 적용한 다음 웨이퍼를 화학적 식각에 노출시킴으로써 물질을 선택적으로 제거한다. 포토레지스트로 피복된 이러한 웨이퍼 영역은 식각로 부터 보호되는 한편, 노출된 나머지 구역은 식각에 의해 약간 제거된다. 결과적으로, 상기한 바와 같이 기하학적 패턴으로 포토레지스트에 의해 물질을 제거한다. 용어 "에칭"은 이같은 물질의 선택적 제거를 의미한다.
기본적으로 습윤 및 건조의 두가지 타입의 에칭이 있다. 습윤 에칭은 웨이퍼 표면의 보호받지못한 물질과 반응하고 용해가능한 생성물을 형성하여 차후에 용매에 의해 제거되는 식각의 사용을 포함한다. 건조 에칭은 웨이퍼의 보호받지못한 표면으로 부터 물질을 화학적으로 물질과 반응함으로써 또는 물리적으로 표면에 충격을 가함으로써 제거하는 활성있는 가스 분자, 이온 및/또는 자유라디칼을 사용하는 플라즈마 및 플라즈마-생성 방법을 의미한다. 이러한 플라즈마 공정은 또한 반응기의 표면 및 반도체의 제조에 사용되는 다른 기구를 세정하는데 사용될 수 있다. 따라서, 본 명세서에서 에칭 및 식각에 관한 논의는 세정 적용시에도 적용된다. 이러한 플라즈마 공정에 통상 적용되는 다른 용어는 플라즈마 에칭, 반응성 이온 에칭, 고-밀도 플라즈마 에칭, 이온 밀링, 반응성 이온 밀링, 화학적 이온 빔 에칭 및 스퍼터 에칭을 포함한다. 최근, 산업분야에서는 보다 세밀한 패턴화 조절때문에 습윤 에칭을 피하고 건조 에칭을 지향하는 추세에 있다.
반도체 산업이 건조 에칭을 선호함에 따라, 사용되는 에칭 화학물질 및 형성된 부산물의 사용과 처분에 관련된 환경 문제가 대두된다. 에칭시, 에칭 화학물질의 일부가 반응하지않는 경향이 있으며 여러 반응 부산물과 함께 반응으로 부터의 처리수로 배출된다. 이와 같은 에칭화학물질의 배출은 정밀조사를 요하게 된다.
예를 들어, 카본 테트라플로라이드, 헥사플루오로에탄, 퍼플루오로프로판, 질소 트리플로라이드, 비스(트리플루오로메틸) 디술파이드 및 술포 헥사플루오라이드와 같은종래의 에칭 화학물질, 및 카본 테트라플로라이드 및 헥사플루오로에탄과같은 퍼플루오르화 부산물은 상대적으로 높은 지구 온난화 잠재력(Global Warming Potential)을 갖는다. 지구 온난화 잠재력(이하, "GWP"라 한다)은 지구 온난화에 기여하는 CO2에 비례한 화합물의 성능을 의미한다. GWP는 화합물의 추정된 대기 수명 및 적외선 복사를 흡수하는 능력에 기초하여 계산된 값이다. GWP는 가장 통상적인 100-년 영역으로, 다른 시간영역에 대하여는 Intergovernmental Panel on Climate Change(IPCC)에 의해 보고된다. 본 명세서에 사용된 바와 같이, GWP는 달리 언급하지 않는한 100-년 영역에 기초한다. 점차적으로 정부 및 국제 기구들은 이같은 높은 GWP를 갖는 화학물질의 배출을 줄이거나 혹은 사용하지 않기를 요구하고 있다. 이러한 제한의 결과, 반도체 제조시 이러한 화학물질의 통상적인 이용이 문제시된다.
현재, 플라즈마 에칭 및 세정에 관련된 환경적인 문제를 경감시키기 위한 시도는 다음 4가지 카테고리중 일종에 속한다: (1) 보다 낮은 량의 GWP 화학물질이 대기로 방출되도록 에칭 및/또는 세정 공정을 최적화함; (2) 적절히 배출되도록 또는 대기 방출없이 재사용되도록 배출 스트림으로 부터 에칭 및/또는 세정 화학물질을 재순환함; (3) 미반응된 에칭 및/또는 세정 화학 방출물을 특히 GWP에 대해 유해하지 않게 소각하고 제공하도록 화학 반응 또는 소각 박스에 의해 배출 스트림내의 에칭 및/또는 세정 화학물질을 감소시킴; 및 (4) 에칭 및/또는 세정 작업에 대한 여러 대체 화학물질의 선별 및 전개함. 첫번째 시도에 대해, 에칭 및 세정 공정에서 방출을 감소하도록 최적화하는 기술발전이 계속적으로 이루어지고 있다; 그러나, 이들만으로는 방출을 수용가능한 수준으로 감소시킬 수 없다. 기타 재순환 및 감소 시도에 관하여, 방출은 감소시킬 수 있으나, 수행 비용이 상대적으로 높으며, 또한 감소 수준도 여전히 부적절한 경향이 있다. 따라서, 종래의 에칭 화학물질을 환경적으로 수용가능한 대체물질로 대체하는 것이 고려되어야할 해결책으로 여겨진다.
따라서, 수용할만한 성능을 갖으며, 부산물 또는 미반응 화학물질이 대기로 배출되는 경우, 지구 온난화에 현저하게 기여하지 않는 에칭 및 세정 조성물이 요구시된다. 본 발명은 이러한 필요성을 충족한다.
본 발명은 일반적으로 드라이 에칭 및 세정에 관한 것이다. 보다 상세하게는 본 발명은 반도체 재료의 플라즈마 에칭 및 반도체 제조에 사용되는 장치의 세정을 위한 플루오르화 카보닐 화합물군의 사용에 관한 것이다.
본 발명은 식각 화합물로서 유용하며 환경적으로 수용가능한 플루오르화 카보닐 화합물군을 발견하였다. 보다 상세하게는, 상기 플루오로화 카보닐 화합물군은 하기 두가지 화학식중 일종을 갖는 화합물을 포함한다:
F-CO-[(CR1R2)m-CO]n-F
(단, 여기서, m=0,1,2,3,4, 또는 5이고, n=0 또는 1이고, R1및 R2는 H, F 또는 CxHyFz를 나타내며, 이중 x=1 또는 2; 및 y+z=2x+1;이다)
F-CO-R3-CO-F
(단, 여기서, R3는 CR4=CR5, R6R7C=C 또는 C=C를 나타내며, 이중 R4-7은 H, F 또는 CxHyFz;를 나타내며, 여기서 x=1 또는 2; 및 y+z=2x+1이다)
식각 또는 세정제로 사용되는 것과 상관없이 본 명세서에서 "식각 화합물"로 언급된 이러한 화합물은 플라즈마 에칭/세정동안에 낮은 GWPs를 가지며 또한 낮은-GWP를 갖는 부산물을 형성하는 경향이 있어 미반응 화합물의 반응 유출물 및 반응 부산물은 상대적으로 환경에 온화하다. 환경적으로 수용가능한 것에 덧붙여 이러한 식각 화합물은 놀랍게도 플라즈마 에칭 조건하에 다양한 물질과 잘 반응한다. 이와 같은 물질의 예는, 이에 한정하는 것은 아니지만, 금속 또는 반-금속의 탄화물, 붕소화물 및 규화물, 예를 들어, 텅스텐 규화물과 같은 유전체; 금속 또는 반-금속의 산화물, 질화물, 예를 들어, 실리콘 디옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 보론포스포러스 실리케이트 유리 및 플루오로실리케이트 유리와 같은 절연체; 인듐 포스파이드와 같은 III-V 반도체 화합물; 실리콘, 다결정 실리콘, 텅스텐, 티타늄, 바나듐, 게르마늄, 실리콘-게르마늄과 같은 원소 물질(elemental materials); 및 이들의 2 이상의 조합을 포함한다.
본 발명의 일견지는 상기 식각 화합물을 사용하여 에칭하는 방법을 제공하는 것이다. 일 예로, 본 방법은 플라즈마 에칭 조건하에서 물질을 GWP가 약 3000이하이고 n=1인 화학식 (1)의 식각 화합물 또는 화학식 (2)의 식각 화합물을 포함하는 에칭 조성물로 처리하는 것을 포함한다. 다른 예로, 본 방법은 플라즈마 에칭 조건하에 물질을 m 및 n0 인 화학식 (1)의 식각 화합물 또는 화학식 (2)의 식각 화합물을 포함하는 에칭 조성물로 처리하는 것을 포함한다. 또 다른 예로, 본 방법은 플라즈마 에칭 조건하에 실리콘 혹은 실리콘 다이옥사이드가 아닌 물질을 화학식 (1) 또는 화학식 (2)의 식각 화합물을 포함하는 에칭 조성물로 처리하는 것을 포함한다.
본 발명의 다른 견지는 상기 식각 화합물을 이용하여 에칭 챔버 및 기타 반도체 가공 장치와 같은 장치의 표면으로부터 축적된 피막 또는 불순물을 세정하는 방법을 제공한다. 일예로, 상기 세정 방법은 플라즈마 세정 조건하에 축적된 피막 혹은 불순물을 실질적으로 종래의 높은-GWP 식각 화합물을 포함하지않으며 화학식 (1) 또는 (2)의 식각 화합물을 포함하여 이루어지는 에칭 조성물로 처리하는 단계를 포함한다.
본 발명의 또다른 견지는 상기 식각 화합물을 포함하여 이루어지는 에칭/세정 조성물을 제공한다. 바람직한 예로, 상기 조성물은 식각 화합물의 에칭 특성을 증가시키거나 변형시키기위해 화학식 (1) 혹은 (2)의 식각 화합물 및 상기 식각 화합물과는 다른 물질을 포함하여 이루어진다.
본 발명의 여러 견지는 에칭/세정 조성물에 사용하기 효과적인 식각 화합물로서 화학식 (1) 및 (2)의 플루오르화 카보닐 화합물의 발견에 관한 것이다. 바람직한 예로, 상기 식각 화합물은 최소 하나의 R1또는 R2는 플루오르 또는 플루오르-함유물이며; n=1인 화학식 (1)로 부터 또는 R4-7중 일종이 플루오르 또는 플루오르-함유물인 화학식 (2)로 부터 선택된다. 보다 바람직하게는 상기 식각 화합물은 n=1이고; m=0,1,2,또는 3이고; 및 R1및 R2=F이며; 따라서 F-CO-(CF2)m-CO-F로 단순화된 화학식 (1)로 부터 선택된다. 가장 바람직한 예로, 상기 식각 화합물은 옥살일 플로라이드(화학식 (1), 단 m=0이고 n=1)이다.
플라즈마 에칭 조건하에 분해시 이러한 식각 화합물은 휘발성 화합물을 형성하기 위해 광범위한 물질의 표면과 화학적으로 반응하는 경향이 있는 반응 종(species)을 제조할 수 있음을 발견하였다. 옥살일 플로라이드(C2O2F2또는 F-CO-CO-F)가 본 발명에 사용되는 매우 바람직한 화합물이며, Si보다 SiO2를 부식하는 에칭 조성물(미국 특허 제 5,445,712 및 5,376,234 참고)내에서 식각-변형제로서 사용된다고 하더라도, 이것은 그 자체로 효과적인 식각로서 인정되지 않았다. 또한, 본 발명의 또다른 바람직한 화합물로서, 카보닐 디플로라이드(CO-F2의 F-CO-F)는 Si보다 SiO2를 부식하기 위해 산소와 결합되지만(J. W. Corban, Eric Kay, Some Chemical Aspects of the Fluorocarbon plasma Etching of Silicon and its Compounds, 23.1 IBM J. DEVELOP. 33(1979, 1월) 참조), 광범위한 실리콘 혹은 실리콘 다이옥사이드가 아닌 물질을 부식하는 성능은 인정되지 않았다.
본 발명에 사용되는 식각 화합물은 낮은 GWP를 갖는다. 종래 에칭 조성물은 퍼플루오르화 또는 황기초 식각의 사용으로 인해 높은 GWPs를 갖는 경향이 있다. 이러한 종래 식각의 예는 하기에서 본 발명에 사용되는 바람직한 식각 화합물인 옥살일 플로라이드(C2O2F2)와 다음에 비교한다:
식각 GWP
C2O2F20
SF623900
CF3SCF31900
CF46500
C2F69200
CHF311700
GWP가 0인 옥살일이 종래-사용되는 식각보다 훨씬 환경적으로 수용가능하다는 것이 분명하다. 바람직한 예로, 본 발명의 조성물의 에칭 또는 세정 조성물은 종래 식각의 사용을 최소화한다. 바람직하게, 상기 조성물은 GWP가 5000이상인 퍼플루오로화 식각 및 퍼플루오르화 부가쇄를 갖고 GWP가 1500이상인 황-기초 식각를 실질적으로 함유하지 않는다. 본 명세서에서 사용된 용어 "실질적으로 함유되지 않은"이란 에칭 조성물내 농도가 약 1부피%이하, 바람직하게는 0.1부피%을 의미한다. 식각 화합물은 바람직하게 GWP가 약 1500이하, 보다 바람직하게 약1000이하, 보다 바람직하게 약500이하을 갖는다. 가장 바람직한 예로, 본 발명의 식각 화합물은 본수적으로 GWP가 없다.
본 발명에 따라, 이러한 식각 화합물로 부터 낮은 GWP를 갖는 에칭 또는 세정 조성물을 배합하는 것이 가능하다. 본 명세서에서 사용된 조성물의 "GWP"는 조성물 성분의 GWPs 중량 평균을 의미한다. 예를 들어, GWP가 1000인 화합물 60중량% 및 GWP가 500인 화합물 40중량%로 이루어진 조성물은 GWP가 800을 갖는다. 또 다른 바람직한 예로, 에칭 조성물의 GWP는 약 3,000이하, 보다 바람직하게 약 1500이하, 보다 더 바람직하게 약 1000이하, 보다 더욱 바람직하게 약 500이하, 그리고 나아가 보다 더 바람직하게는 약 100이하이다.
본 발명에 사용되는 식각 화합물은 조성물의 GWP에 매우 조금 기여할 뿐만 아니라 미반응된 식각 화합물의 상대적 소량이 반응 배출액으로 방출되는 효율로 소비되는 경향이 있다. 또한, 이러한 화합물은 GWP가 낮은 배출액을 생성하도록 분해되거나 및/또는 반응한다. 배출액의 "GWP"는 배출액 성분의 GWP 중량 평균을 의미한다. 바람직한 예로, 배출액은 CF4와 같은 종래 GWP가 높은 반응 생성물을 비교적 소량 함유한다.
예를 들어, 옥살일 플로라이드는 본수적으로 완전히 반응하며 그 분해 화합물은 HF, CO2 및 CO를 포함하며, 이들 모두는 상대적으로 환경에 온화하다. 바람직하게, 배출물의 GWP는 약 5000이하, 보다 바람직하게 약3000이하, 보다 바람직하게 약1500이하, 보다 더 바람직하게 약 1000이하, 보다 더욱 바람직하게 약 500이하, 그리고 나아가 보다 더 바람직하게는 약 100이하이다.
낮은 GWPs를 갖는 한편, 본 발명의 에칭/세정 조성물 및 이들로 부터 형성된 반응 생성물의 실질적인 부분은 또한 통상적인 세척 기술 및 화학 시스템을 사용하여 물 세척이 가능하다. 비교로, 통상 사용되는 식각들인 CF4, C2F6및 C3F8은 단지 부분적으로 소비되며 퍼플루오로카본의 실질적인 양이 배출물내에 존재한다. 이러한 퍼플루오로카본은 환경적으로 수용가능하지 않으며(상기 GWPs로 나타난 바와 같음), 또한 세척에 의해 쉽게 제거되지도 않는다.
따라서, 이들의 낮은 GWP 및 보다 효율적으로 세척되는 이들 배출물의 능력으로 인해, 본 발명의 에칭/세정 조성물은 지구 온난화에 기여할 염려없이 그리고 복잡하고 고가로 수집/재순환 및/또는 저감 시스템을 필요로하지 않고 사용될 수 있다.
본 발명에 사용되는 식각 화합물은 통상 구입가능하거나 또는 통상 구입가능한 출발 물질로 부터 쉽게 합성될 수 있다. 예를 들어, Franz에 부여된 미국 특허 제 4,260,561에는 수소 플루오라이드와 이에 상응하는 카보닐 디클로라이드 반응에 의해 플루오르화에 의한 플루오르화 카보닐 디플루오라이드를 제조함이 개시되어 있다. 또한, Tokuyama 등에 부여된 일본 특허 99358-1994에는 알칼리 불화물을 사용한 비-양성자성 용매내에서 옥살일 클로라이드를 반응시킴으로써 옥살일 플로라이드를 제조하는 방법을 가르치고 있다.
에칭/세정 조성물내 식각 화합물(들)의 양은 원하는 정도의 에칭 성능을 갖도록 충분해야 한다. 대부분의 적용처에서 에칭 조성물내 식각 화합물의 농도는 약 1부피%이상이어야 하는 것으로 여겨진다. 바람직하게, 에칭 조성물내 식각 화합물은 약20부피%이상, 및 보다 바람직하게 약50부피%이상으로 포함하여야 한다.
본 발명의 에칭/세정 조성물은 식각 화합물(들)에 부가적으로 다른 성분을 포함할 수 있다. 부가 성분들이 에칭을 보조하기위해 및/또는 "선택성"을 부여하기 위해 사용될 수 있다.
용어 "선택성"은 다른 물질보다 일 물질을 다른 에칭율로 부식하는 에칭 조성물의 능력을 의미한다. 광범위한 물질과 반응하는 식각 화합물의 성능이 세정과 같은 적용처에 가리지않고 잘 들어맞는 식각의 조성을 제공함에도 불구하고, 모든 타입의 피막 및 불순물을 동등하게 제거하는 것이 바람직한 경우, 에칭 적용처에 대한 적절한 선택성이 부족할 수 있다. 최소한, 에칭 조성물이 포토레지스트보다 우수한 비율로 하부의 물질을 부식시키도록 어느 정도의 선택성이 에칭 적용처에 필요하다.
본 발명에 사용되는 식각 화합물은 이러한 "포토레지스트" 선택성을 갖는다. 그러나 부식하려는 기판의 다른 물질간에는 선택성이 요구되는 몇몇 적용처가 있다. 이같은 선택성을 부여하기 위해, 상기 에칭 조성물에 최소 하나의 식각-변형제를 첨가하는 것이 바람직하다.
식각-변형제 및 이들의 기능은 이 기술 분야에서 잘 알려져 있다(예를 들어, Zhang 등의 Fluorocarbon High Density Plasmas. VII. Investigation of Selective SiO2-to-Si3N4High Density Plasma Etch Processes, J. VAC. SCI. TECHNOL A14(4)(1996 7/8월) 참조). 결과적으로, 이 기술 분야에서 숙련된 자는 원하는 선택성을 이루기 위해 사용되는 식각-변형제의 타입 및 양을 결정할 수 있다.
예를 들면, 에칭 조성물에 하이드로카본 및 하이드로플루오로카본과 같은 수소 및/또는 수소-함유 화합물을 부가하는 것은 특정 물질(다른 것은 아닌)의 표면상에서 중합을 개선시키는 경향이 있다. 중합은 에칭율을 저하시키는 경향을 갖는다. 예를 들면, 옥살일 플로라이드는 단독으로는 물질사이에서 매우 큰 선택성을 나타내지 못하지만, 다른 수소 함유 가스와 함께 사용될 때 반도체를 제조하는데 사용되는 다결정 Si, 단결정 Si, SiO2및 Si3N4와 같은 물질에 대한 바람직한 에칭 선택성을 나타내는 경향이 있다. 이와 유사하게, 에칭 조성물에 대한 질소의 첨가는 플라즈마 반응의 화학을 변화시키는 경향이 있으며, 따라서 특정 표면상에 중합을 증가시킨다. 산소와 같은 다른 화합물은 SiO2와 같은 산소-함유 물질의 에칭율을 증가시키는 경향이 있다.
바람직한 견지에 있어서, 상기 식각-변형제로는 O2, H2, N2, C1-C5하이드로카본, C1-C5하이드로플루오로카본(HFCs), C1-C4퍼플루오로카본으로 이루어진 그룹으로부터 선택된 화합물, 및 상기 식각 화합물과는 다르벼, 식(1) 혹은 (2)의 일반식(여기서 R1, R2혹은 R4-7중 최소 1종이 수소이다)을 갖는 화합물을 포함한다. 보다 바람직하게는 상기 식각-변형제는 식(1) 및 (2)에 포함되지 않는 C2-C4퍼플루오로카본이다. 보다 더 바람직하게는 상기 식각-변형제는 O2, H2, N2, CH4및 C2-C4HFCs로부터 선택된다.
높은 불소 함량때문에 퍼플루오로카본이 바람직한 통상의 에칭 화합물과는 달리, 본 발명의 조성물에 있어서는 그 낮은 GWP 및 중합 능력으로 인하여 HFCs가 식각-변형제로서 바람직하다. 보다 더 바람직한 견지에 있어서, 상기 식각-변형제는 예를 들면, 펜타플루오로프로판, 헥사플루오로프로판, 테트라플루오로에탄 및 펜타플루오로에탄의 이성질체와 같은 HFC이다. 나아가 보다 더 바람직한 견지에 있어서, 상기 HFC는 1,1,1,3,3-펜타플루오로프로판 혹은 1,1,1,3,3,3-헥사플루오로프로판이다.
에칭-세정 조성물내에 존재하는 식각-변형제의 양은 물질간 원하는 선택성을 부여할 정도로 충분하여야 한다. 효과적인 선택성은 에칭 조성물내 식각-변형제의 농도를 약0.1-99부피%, 그리고 보다 바람직하게는 약5-60부피%범위내로 할 때 얻어지는 것을 발견하였다.
에칭 조성물내에 다른 성분을 포함하는 것도 바람직하다. 예를 들어, 아르곤, 헬륨 혹은 그 혼합물과 같은 동반 캐리어 가스를 사용하는 에칭 장치내로 식각 화합물을 도입하는 것은 특히 낮은 증기압을 갖는 에칭 조성물(선택적으로, 낮은 증기압 에칭 조성물을 도입하는데 액체 공급 시스템이 사용될 수 있다)에 휘발성을 부여하는데 이로울 수 있다. 덧붙여서, 예를 들어, 아르곤, 헬륨 혹은 그 혼합물과 같은 높은 이온화 에너지를 갖는 가스를 상기 공정에 첨가함으로써 물질 표면의 이온 충격을 개선시키는 것이 바람직할 수 있다.
에칭-세정 조성물내에 존재하는 동반 캐리어 가스 혹은 높은 에너지를 갖는 가스의 양은 원하는 휘발성 혹은 추가로 이온 농도를 부여하기에 충분하여야 한다. 대다수 적용처에 있어서, 캐리어 혹은 높은 에너지를 갖는 가스를 약0.1-99부피% 그리고 바람직하게는 약5-60부피%로 포함하는 에칭 조성물을 가지고 적절한 결과를 얻을 수 있을 것으로 여겨진다.
본 발명의 에칭 방법을 구현하는데 있어서, 통상의 장치 및 기술이 사용될 수 있다. 일반적으로 기판을 에칭하기 위해서 하나이상의 웨이퍼를 챔버내에 재치하고 진공 펌프로 감압시킨다. 저압 챔버내로 적절한 에칭 조성물을 도입한 다음 챔버내 내용물에 RF 필드를 적용함으로써 플라즈마가 형성된다. 이 상태에서, 에칭 조성물의 활성화된 종은 표면을 물리적으로 충격주거나 혹은 진공챔버내로부터 펌프에 의해 제거될 수 있는, SiF4와 같은 휘발성 물질을 화학적으로 형성함으로써 제거하려는 물질을 공격하게 된다. 상기 공정은 물질의 원하는 양이 웨이퍼 표면으로부터 제거되었을때 중지된다. 플라즈마 에칭의 개요는 W.KERN, THIN FILM PROCESS(1978) 및 In PLASMA ETCHING & INTRODUCTION(B.M.Manos등, 1989판)에서 제공된다.
공지된 바와 같이, 얻어진 결과에 효과를 미칠 수 있는 플라즈마 에칭 공정의 작동 조건은 다수가 있다. 이들 조건으로는 예를 들어, 플라즈마 에칭 타입(예를 들어, 반응성 이온 에칭, 플라즈마 에칭 및 고밀도 에칭), 에칭 조성물 흐름비, 웨이퍼 온도, 압력, 전력, 시간 및 바이어스(bias)를 포함한다. 이들 파라미터간 상관관계는 하드웨어 구조와 에칭하려는 물질간의 함수이다. 플라즈마 에칭 및 세정 분야에서 숙련된 자라면 원하는 물질을 만족스럽게 에칭하는데 상응하여 이들 파라미터를 변화시킬 수 있다. 예시적인 작동 조건은 가스흐름비: 약1-500 1분/표준cm2(sccm); 웨이퍼 온도 약-200∼200℃; 압력 약0.05-500mTorr; 전력 약20-5000왓트; 및 에칭/세정하려는 웨이퍼 혹은 물품을 가로지르는 바이어스 전압 약1-500볼트DC;를 포함한다. 에칭 시간은 제거하려는 물질의 원하는 양에 따라 좌우되며 수초 내지 수시간 범위이다. 옥살일 플로라이드 사용시, 에칭 가스 흐름비가 약1-200sccm, 바람직하게는 약5-100sccm이고; 압력은 약0.05-20mTorr, 바람직하게는 약0.1-10mTorr이고; 웨이퍼 온도는 약0-150℃, 바람직하게는 대략 실온이고; 전력은 약100-1000왓트, 바람직하게는 약300-700왓트이고; 그리고 바이어스는 약10-200볼트DC, 바람직하게는 약25-175볼트 DC인 반응성 이온 에칭법을 사용함으로써 에칭이 효과적으로 이루어질 수 있다는 것을 발견하였다.
하기 실시예는 본 발명의 실시를 단지 예시하기 위한 것이다.
실시예 1-8
처음 8가지 실시예는 다른 작동 조건하에 여러가지 물질에 대한 옥살일 플로라이드의 에칭 능력을 예시한다. 상기 특정 조건 및 평균 에칭율을 하기표 1에 나타내었다.
다른 물질들로 층을 이룬 웨이퍼를 사용하여 ASTeX전원을 갖는 잠김 로우드(lock load) Plasma Therm 전자 사이클로트론 공명(ECR) 에칭기로 실험을 수행하였다. 각 실험에서, 단결정 실리콘, 폴리실리콘(10,000Å의 두께, SiO2코팅된 Si 웨이퍼상에 부착된 LPCVD), 실리콘 다이옥사이드(5000Å 두께, Si 웨이퍼상에 부착된 LPCVD), 및 실리콘 니트라이드(Si상에 부착된 LPCVD, 5000Å 두께)로된 웨이퍼를 챔버내에 재치하였다. 질량 흐름 미터를 사용하여 옥살일 플로라이드를 20sccm의 연속 흐름으로 도입하였다. 웨이퍼 온도는 대략 실온이었다. 각 실험에 대하여 하기표 1에 기재한 바와 같이 특정 에칭 조건을 변화시켰다. 각 실험에 있어서, 에칭을 5분후 중지하고, 제거된 물질량을 일립소메트리(ellipsometry)를 사용하여 각 웨이퍼상에 7군데 위치에서 측정하였으며, 에칭율을 물질 제거율 평균에 기초하여 계산하였다. 하기표 1에 그 결과를 나타내었다.
에칭 조건 및 평균 에칭율
실시예번호 DC 바이어스(볼트) 압력(mTorr) 전력(왓트) 에칭율(Å/min)
Si Poly Si SiO2 Si3N4
1 41 1 350 206.4 220.6 315.8 269
2 39 1 700 177 189 273 199
3 46 5 350 150 87.3 124 184
4 45 5 700 95.1 189 188 88.7
5 155 1 350 716 603 829 801
5 157 1 700 540 590 744 749
6 155 5 350 479 468 581 561
8 155 5 700 528 508 638 780
상기 실시예들은 반도체 제작시 통상 사용되는 물질들이 옥살일 플로라이드를 사용한 플라즈마 에칭에 의해 효과적으로 에칭되는 것을 보였다. 나아가 상기 결과는 에칭이 광범위한 조건하에서 성공적으로 수행될 수 있으며, 조건들은 원하는 결과를 얻도록 변화시킬 수 있다는 것을 나타내었다.
상기표 1에 나타낸 결과에 덧붙여, 실험후 진공 챔버를 조사한 결과, 벽에서 어떠한 부착물도 발견하지 못하였다. 이는 장치를 세정하는 동안 옥살일 플로라이드의 장기간 식각 화합물로서 작용하는 또다른 잇점을 나타내는 것이다.
실시예 9
본 실시예는 옥살일 플로라이드가 포토레지스트보다 실리콘을 에칭하는데 충분한 선택성을 갖으며, 반도체 제작에 실제적임을 보인다.
실리콘 웨이퍼를 포토레지스트로 코팅하고, 패턴화하고, 실시예 5와 동일한 조건하에 에칭하였다. 그런 다음 상기 포토레지스트를 5분후 제거하였다. 전자 현미경을 사용하여 결과 구조를 분석한 결과 실리콘이 포토레지스트보다 큰 비율로 에칭됨을 확인하였다.
실시예 10-14
본 실시예는 에칭 조성물에 식각-변형제를 첨가함으로써 선택성을 높이는 것을 예시한다.
하기 예시 조성물은 본 발명의 범위내에 있는 것으로, 옥살일 플로라이드 20부피% 및 하기 식각-변형제 80부피%로 이루어졌다.
실시예 번호 식각-변형제
10 CH4
11 O2
12 H2
13 CF3CH2CHF3
14 F-CO-CH2-CO-F
이들 조성물은 다른 것보다 일 물질을 선택적으로 에칭하며, 웨이퍼의 다른 기판, 예를 들어 Si보다는 SiO2를 에칭하기에 적합하였다.
본 발명에 따르면, 수용할만한 성능을 갖으며, 부산물 또는 미반응 화학물질이 대기로 배출되는 경우, 지구 온난화에 현저하게 기여하지 않는 에칭 및 세정 조성물을 제공할 수 있다.

Claims (29)

  1. GWP가 약3000이하이고,
    식F-CO-[(CR1R2)m-CO)]n-F 및 F-CO-R3-CO-F로 이루어진 그룹으로부터 선택된 최소 하나의 식각 화합물을 포함하며,
    (단, 여기서 m은 0,1,2,3,4 혹은 5이고;
    n은 1이며;
    R1및 R2는 H,F 혹은 CxHyFz를 나타내며, 이중 x는 1혹은 2이고; y+z=2x+1이며; 그리고
    R3는 CR4=CR5, R6R7C=C 혹은 C≡C를 나타내며, 이중 R4-7은 H,F 혹은 CxHyFz를 나타내며, 여기서 x는 1 혹은 2이며, y+z=2x+1인) 에칭 조성물로 재료를 플라즈마 에칭 조건하에 처리하는 에칭 방법
  2. 제1항에 있어서, 상기 에칭 조성물은 GWP가 약1500이하임을 특징으로 하는 방법
  3. 제3항에 있어서, 상기 에칭 조성물은 GWP가 약1000이하임을 특징으로 하는 방법
  4. 제3항에 있어서, 상기 식각 화합물은 식 F-CO-[(CR1R2)m-CO]n-F을 갖으며, 여기서 m은 0,1,2 혹은 3이고 R1및 R2는 F임을 특징으로 하는 방법
  5. 제4항에 있어서, 상기 식각 화합물은 식F-CO-CO-F 및 F-CO-(CF2)m-CO-F로 이루어진 그룹으로부터 선택됨을 특징으로 하는 방법
  6. 제5항에 있어서, 상기 식각 화합물은 식 F-CO-CO-F를 갖음을 특징으로 하는 방법
  7. 제1항에 있어서, 나아가 상기 에칭 조성물은 최소 일종의 식각-변형제를 포함하여 플라즈마 에칭에 대한 선택성을 부여함을 특징으로 하는 방법
  8. 제7항에 있어서, 상기 식각-변형제는 O2,H2,N2,C1-C4하이드로카본, C1-C4퍼플루오로카본, 및 C1-C5하이드로플루오로카본으로 이루어진 그룹으로부터 선택됨을 특징으로 하는 방법
  9. 제8항에 있어서, 상기 식각-변형제는 펜타플루오로프로판, 헥사플루오로프로판, 테트라플루오로에탄 및 펜타플루오로에탄으로 이루어진 그룹으로부터 선택된 최소 하나의 하이드로플루오로카본 및 2이상의 혼합물로 이루어짐을 특징으로 하는 방법
  10. 제7항에 있어서, 상기 식각 변형제는 상기 식각 화합물과는 다른 화합물이며, 식F-CO-[(CR1R2)m-CO]n-F 및 F-CO-R3-CO-F로 이루어진 그룹으로부터 선택되며,
    (단, 여기서 m은 0,1,2,3,4 혹은 5이며;
    n은 0 혹은 1이며;
    R1및 R2는 H,F 혹은 CxHyFz를 나타내며, 이중 x는 1혹은 2이고; y+z=2x+1이며;
    R3는 CR4=CR5, R6R7C=C 혹은 C≡C를 나타내며, 이중 R4-7은 H,F 혹은 CxHyFz를 나타내며, 여기서 x는 1 혹은 2이며, y+z=2x+1이며; 그리고
    여기서 최소 하나의 R1, R2및 R4-7은 수소 혹은 수소 함유물이다);을 특징으로 하는 방법
  11. 제1항에 있어서, 상기 재료는 금속 혹은 반금속의 탄화물, 붕소화물 및 규화물로 이루어진 그룹으로부터 선택된 유전체; 금속 혹은 반금속의 산화물, 질화물, 보론포스포러스 규산염 유리 및 플루오로규산염 유리로 이루어진 그룹으로부터 선택된 절연체; Ⅲ-Ⅴ 반도체 화합물; 실리콘, 다결정 실리콘, 텅스텐, 티타늄, 바나듐, 게르마늄 및 실리콘-게르마늄으로 이루어진 그룹으로부터 선택된 원소 물질; 및 2이상의 혼합물로 이루어지는 그룹으로부터 선택됨을 특징으로 하는 방법
  12. 제1항에 있어서, 상기 재료는 1층이상의 반도체층을 포함하여 이루어짐을 특징으로 하는 방법
  13. GWP가 5000이상인 플루오로카본 화합물 및 퍼플루오로화 부가쇄를 갖고 GWP가 약1500이상인 황기초 화합물이 실질적으로 없으며,
    식F-CO-[(CR1R2)m-CO)]n-F 및 F-CO-R3-CO-F로 이루어진 그룹으로부터 선택된 최소 하나의 식각 화합물을 포함하여 이루어지며,
    (단, 여기서 m은 0,1,2,3,4 혹은 5이며;
    n은 1이며;
    R1및 R2는 H,F 혹은 CxHyFz를 나타내며, 이중 x는 1혹은 2이고; y+z=2x+1이며; 그리고
    R3는 CR4=CR5, R6R7C=C 혹은 C≡C를 나타내며, 이중 R4-7은 H,F 혹은 CxHyFz를 나타내며, 여기서 x는 1 혹은 2이며, y+z=2x+1이다) 에칭 조성물로 재료를 플라즈마 에칭 조건하에 처리하는 에칭방법
  14. 제13항에 있어서, 나아가 상기 에칭 조성물은 O2,H2,N2,CH4, C1-C5하이드로플루오로카본으로 이루어진 그룹으로부터 선택된 식각-변형제를 포함함을 특징으로 하는 방법
  15. 식F-CO-[(CR1R2)m-CO]n-F 및 F-CO-R3-CO-F로 이루어진 그룹으로부터 선택된 최소 하나의 식각 화합물을 포함하여 이루어지며,
    (단, 여기서 m은 0,1,2,3,4 혹은 5이며;
    n은 0 혹은 1이며;
    R1및 R2는 H,F 혹은 CxHyFz를 나타내며, 이중 x는 1혹은 2이고; y+z=2x+1이며; 그리고
    R3는 CR4=CR5, R6R7C=C 혹은 C≡C를 나타내며, 이중 R4-7은 H,F 혹은 CxHyFz를 나타내며, 여기서 x는 1 혹은 2이며, y+z=2x+1이다), 인 에칭 조성물을 사용하여 실리콘 혹은 실리콘 다이옥사이드가 아닌 표면을 플라즈마 에칭하는 방법
  16. 식F-CO-[(CR1R2)m-CO]n-F 및 F-CO-R3-CO-F로 이루어진 그룹으로부터 선택된 최소 하나의 식각 화합물을 포함하여 이루어지며,
    (단, 여기서 m은 0,1,2,3,4 혹은 5이며;
    n은 1이며;
    R1및 R2는 H,F 혹은 CxHyFz를 나타내며, 이중 x는 1혹은 2이고; y+z=2x+1이며;그리고
    R3는 CR4=CR5, R6R7C=C 혹은 C≡C를 나타내며, 이중 R4-7은 H,F 혹은 CxHyFz를 나타내며, 여기서 x는 1 혹은 2이며, y+z=2x+1이다), 에칭 조성물을 사용하여 재료의 표면을 플라즈마 에칭하는 방법
  17. 제16항에 있어서, 상기 식각는 플라즈마 전력 약350왓트@2.45GHz, 압력 약1mTorr, 및 DC 디바이스 약41볼트하에 Si3N4를 에칭율 약250-300(Å/min)으로 에칭함을 특징으로 하는 방법
  18. 표면에 부착된 불순물을 제거하기 위하여 표면을 세정함에 있어서,
    상기 표면을 GWP가 5000이상인 플루오로카본 화합물 및 퍼플루오로화 부가 쇄를 갖고 GWP가 약1500이상인 황기초 화합물이 실질적으로 없는 에칭 조성물로 접촉시켜 표면을 플라즈마 세정하는 단계를 포함하며,
    상기 에칭 조성물은
    식F-CO-[(CR1R2)m-CO]n-F 및 F-CO-R3-CO-F로 이루어진 그룹으로부터 선택된 최소 하나의 식각 화합물을 포함하여 이루어지는,
    (단, 여기서 m은 0,1,2,3,4 혹은 5이며;
    n은 1이며;
    R1및 R2는 H,F 혹은 CxHyFz를 나타내며, 이중 x는 1혹은 2이고; y+z=2x+1이며; 그리고
    R3는 CR4=CR5, R6R7C=C 혹은 C≡C를 나타내며, 이중 R4-7은 H,F 혹은 CxHyFz를 나타내며, 여기서 x는 1 혹은 2이며, y+z=2x+1이다) 표면 세정 방법
  19. 제18항에 있어서, 상기 식각 화합물은 식 F-CO-[(CR1R2)m-CO]n-F을 갖으며, 여기서 m은 0,1,2 혹은 3이고; R1및 R2는 F임을 특징으로 하는 방법
  20. 제19항에 있어서, 상기 식각 화합물은 식F-CO-CO-F 및 F-CO-(CF2)m-CO-F로 이루어진 그룹으로부터 선택됨을 특징으로 하는 방법
  21. 제20항에 있어서, 상기 식각 화합물은 식 F-CO-CO-F를 갖음을 특징으로 하는 방법
  22. (A)F-CO-[(CR1R2)m-CO]n-F 및 F-CO-R3-CO-F로 이루어진 그룹으로부터 선택된 일반식을 갖으며,
    (단, 여기서 m은 0,1,2,3,4 혹은 5이며;
    n은 1이며;
    R1및 R2는 H,F 혹은 CxHyFz를 나타내며, 이중 x는 1혹은 2이고; y+z=2x+1이며;
    R3는 CR4=CR5, R6R7C=C 혹은 C≡C를 나타내며, 이중 R4-7은 H,F 혹은 CxHyFz를 나타내며, 여기서 x는 1 혹은 2이며, y+z=2x+1이다) 화합물; 및
    (B)조성물의 에칭 특성을 개선시키거나 혹은 변형시키기 위한, 상기 식각 화합물과는 다른 제2 성분;을 포함하여 이루어지는 에칭 조성물
  23. 제22항에 있어서, 상기 제2성분은 상기 조성물에 선택성을 부여하기 위한 식각-변형제임을 특징으로 하는 조성물
  24. 제23항에 있어서, 상기 식각-변형제는 O2,H2,N2,CF4및 C1-C5하이드로플루오로카본으로 이루어진 그룹으로부터 선택됨을 특징으로 하는 조성물
  25. 제24항에 있어서, 상기 식각-변형제는 펜타플루오로프로판, 헥사플루오로프로판, 테트라플루오로에탄 및 펜타플루오로에탄으로 이루어진 그룹으로부터 선택된 최소 하나의 하이드로플루오로카본 및 2이상의 혼합물로 이루어짐을 특징으로 하는 조성물
  26. 제23항에 있어서, 상기 식각 변형제는 상기 화합물(A)와는 다르며, 식F-CO-[(CR1R2)m-CO]n-F 및 F-CO-R3-CO-F로 이루어진 그룹으로부터 선택된 화합물을 갖으며,
    (단, 여기서 m은 1,2,3,4 혹은 5이며;
    n은 0 혹은 1이며;
    R1및 R2는 H,F 혹은 CxHyFz를 나타내며, 이중 x는 1혹은 2이고; y+z=2x+1이며;
    R3는 CR4=CR5, R6R7C=C 혹은 C≡C를 나타내며, 이중 R4-7은 H,F 혹은 CxHyFz를 나타내며, 여기서 x는 1 혹은 2이며, y+z=2x+1이며; 그리고
    최소 하나의 R1, R2및 R4-7은 수소 혹은 수소 함유물이다);을 특징으로 하는 조성물
  27. 제22항에 있어서, 상기 제2 성분은 상기 에칭 조성물에 휘발성을 부여하는 캐리어 가스임을 특징으로 하는 조성물
  28. 제22항에 있어서, 상기 제2 성분은 상기 에칭 조성물의 에칭율을 개선시키는 높은 이온화를 갖는 가스임을 특징으로 하는 조성물
  29. 제22항에 있어서, 상기 제2 성분은 He, Ar 및 그 혼합물로 이루어지는 그룹으로부터 선택됨을 특징으로 하는 조성물
KR1020007007339A 1997-12-31 1998-12-23 플루오르화 카보닐 화합물을 이용한 에칭 및 세정방법 KR100603654B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/001,325 US6635185B2 (en) 1997-12-31 1997-12-31 Method of etching and cleaning using fluorinated carbonyl compounds
US09/001,325 1997-12-31
PCT/US1998/027429 WO1999034429A1 (en) 1997-12-31 1998-12-23 Method of etching and cleaning using fluorinated carbonyl compounds

Publications (2)

Publication Number Publication Date
KR20010033793A true KR20010033793A (ko) 2001-04-25
KR100603654B1 KR100603654B1 (ko) 2006-07-24

Family

ID=21695460

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007007339A KR100603654B1 (ko) 1997-12-31 1998-12-23 플루오르화 카보닐 화합물을 이용한 에칭 및 세정방법

Country Status (6)

Country Link
US (1) US6635185B2 (ko)
EP (1) EP1042800A1 (ko)
JP (1) JP2002500444A (ko)
KR (1) KR100603654B1 (ko)
AU (1) AU2011099A (ko)
WO (1) WO1999034429A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021225263A1 (ko) * 2020-05-04 2021-11-11 아주대학교 산학협력단 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법

Families Citing this family (195)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7014788B1 (en) * 1998-06-10 2006-03-21 Jim Mitzel Surface treatment method and equipment
JP2001077086A (ja) * 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd 半導体装置のドライエッチング方法
US6762129B2 (en) * 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
AU2002240097A1 (en) * 2001-02-14 2002-08-28 Advanced Micro Devices, Inc. Method and apparatus for controlling etch selectivity
US20070290166A1 (en) * 2001-03-14 2007-12-20 Liu Feng Q Method and composition for polishing a substrate
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US20030003374A1 (en) * 2001-06-15 2003-01-02 Applied Materials, Inc. Etch process for photolithographic reticle manufacturing with improved etch bias
US20050059250A1 (en) * 2001-06-21 2005-03-17 Savas Stephen Edward Fast etching system and process for organic materials
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US7125496B2 (en) * 2001-06-28 2006-10-24 Hynix Semiconductor Inc. Etching method using photoresist etch barrier
JP3364488B1 (ja) * 2001-07-05 2003-01-08 東京エレクトロン株式会社 反応容器のクリーニング方法及び成膜装置
US7432207B2 (en) * 2001-08-31 2008-10-07 Tokyo Electron Limited Method for etching object to be processed
WO2003021659A1 (en) 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
US20030048591A1 (en) * 2001-09-10 2003-03-13 Saturn Vac Co., Ltd. Desmearing process/apparatus for pulse-type D.C. plasma
DE10146888C1 (de) * 2001-09-24 2003-04-10 Infineon Technologies Ag Verfahren zum Ätzen einer Schicht in einem Graben und Verfahren zur Herstellung eines Grabenkondensators
JP3759895B2 (ja) * 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
JP2005508078A (ja) * 2001-10-31 2005-03-24 東京エレクトロン株式会社 高アスペクト比形態のエッチング方法
US6977184B1 (en) * 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
JP2003234331A (ja) * 2001-12-05 2003-08-22 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
TWI260735B (en) * 2002-01-18 2006-08-21 Nanya Technology Corp Method preventing short circuit between tungsten metal wires
US20030143853A1 (en) * 2002-01-31 2003-07-31 Celii Francis G. FeRAM capacitor stack etch
US20040009667A1 (en) * 2002-02-07 2004-01-15 Etsuo Iijima Etching method
JP4865978B2 (ja) * 2002-02-28 2012-02-01 富士通セミコンダクター株式会社 半導体装置の製造方法
US6972265B1 (en) * 2002-04-15 2005-12-06 Silicon Magnetic Systems Metal etch process selective to metallic insulating materials
US7078334B1 (en) * 2002-06-06 2006-07-18 Cypress Semiconductor Corporation In situ hard mask approach for self-aligned contact etch
JP2004031546A (ja) * 2002-06-25 2004-01-29 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US8080453B1 (en) 2002-06-28 2011-12-20 Cypress Semiconductor Corporation Gate stack having nitride layer
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US7018929B2 (en) * 2002-07-02 2006-03-28 Taiwan Semiconductor Manufacturing Co., Ltd Method for reducing a low volatility byproduct from a wafer surface following an etching process
US20040018741A1 (en) * 2002-07-26 2004-01-29 Applied Materials, Inc. Method For Enhancing Critical Dimension Uniformity After Etch
US20040026369A1 (en) * 2002-08-12 2004-02-12 Chentsau Ying Method of etching magnetic materials
US6893974B1 (en) * 2002-09-05 2005-05-17 Cypress Semiconductor Corp. System and method for fabricating openings in a semiconductor topography
US7223701B2 (en) * 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
JP4119726B2 (ja) * 2002-10-15 2008-07-16 東京エレクトロン株式会社 プラズマ処理方法
US7071112B2 (en) * 2002-10-21 2006-07-04 Applied Materials, Inc. BARC shaping for improved fabrication of dual damascene integrated circuit features
JP4302965B2 (ja) * 2002-11-01 2009-07-29 株式会社日立ハイテクノロジーズ 半導体デバイスの製造方法及びその製造システム
US7160813B1 (en) * 2002-11-12 2007-01-09 Novellus Systems, Inc. Etch back process approach in dual source plasma reactors
US7229929B2 (en) * 2002-12-06 2007-06-12 Cypress Semiconductor Corporation Multi-layer gate stack
JP2004247675A (ja) * 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
US6951825B2 (en) * 2003-03-17 2005-10-04 Sharp Laboratories Of America, Inc. Method of etching a SiN/Ir/TaN or SiN/Ir/Ti stack using an aluminum hard mask
US6960413B2 (en) * 2003-03-21 2005-11-01 Applied Materials, Inc. Multi-step process for etching photomasks
JP3973587B2 (ja) * 2003-03-24 2007-09-12 俊夫 後藤 表面処理方法および表面処理装置
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
WO2004095559A1 (ja) * 2003-04-22 2004-11-04 Tokyo Electron Limited シリコン酸化膜の除去方法及び処理装置
US6939817B2 (en) * 2003-05-08 2005-09-06 Micron Technology, Inc. Removal of carbon from an insulative layer using ozone
US6989105B2 (en) * 2003-06-27 2006-01-24 International Business Machines Corporation Detection of hardmask removal using a selective etch
US20050011859A1 (en) * 2003-07-15 2005-01-20 Bing Ji Unsaturated oxygenated fluorocarbons for selective aniostropic etch applications
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
US7371637B2 (en) * 2003-09-26 2008-05-13 Cypress Semiconductor Corporation Oxide-nitride stack gate dielectric
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
GB0323001D0 (en) * 2003-10-01 2003-11-05 Oxford Instr Plasma Technology Apparatus and method for plasma treating a substrate
US7081411B2 (en) * 2003-10-18 2006-07-25 Northrop Grumman Corporation Wafer etching techniques
US7196017B2 (en) * 2003-10-24 2007-03-27 Avago Technologies Fiber Ip (Singapore) Pte. Ltd. Method for etching smooth sidewalls in III-V based compounds for electro-optical devices
US7425512B2 (en) * 2003-11-25 2008-09-16 Texas Instruments Incorporated Method for etching a substrate and a device formed using the method
EP1557875A1 (en) * 2003-12-29 2005-07-27 STMicroelectronics S.r.l. Process for forming tapered trenches in a dielectric material
US20050176198A1 (en) * 2004-02-11 2005-08-11 Kudelka Stephan P. Method of fabricating bottle trench capacitors using an electrochemical etch with electrochemical etch stop
US7081415B2 (en) * 2004-02-18 2006-07-25 Northrop Grumman Corporation Method of dry plasma etching semiconductor materials
US7262137B2 (en) * 2004-02-18 2007-08-28 Northrop Grumman Corporation Dry etching process for compound semiconductors
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7378226B2 (en) * 2004-04-20 2008-05-27 Headway Technologies, Inc. Ozone-assisted bi-layer lift-off stencil for narrow track CPP-GMR heads
US20060000796A1 (en) * 2004-06-30 2006-01-05 Elliot Tan Method for controlling critical dimensions and etch bias
US20060011578A1 (en) * 2004-07-16 2006-01-19 Lam Research Corporation Low-k dielectric etch
KR100621562B1 (ko) * 2004-07-30 2006-09-14 삼성전자주식회사 Co 가스에 의해 형성된 선택적 폴리머 마스크를사용하는 건식 식각 방법
US7192875B1 (en) 2004-10-29 2007-03-20 Lam Research Corporation Processes for treating morphologically-modified silicon electrode surfaces using gas-phase interhalogens
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
ITMI20042206A1 (it) * 2004-11-17 2005-02-17 St Microelectronics Srl Procedimento per la definizione di cirfuiti integrati di dispositivi elettronici a semicondutture
US7288487B1 (en) * 2004-12-01 2007-10-30 Spansion Llc Metal/oxide etch after polish to prevent bridging between adjacent features of a semiconductor structure
US7488689B2 (en) * 2004-12-07 2009-02-10 Tokyo Electron Limited Plasma etching method
JP2006165246A (ja) * 2004-12-07 2006-06-22 Tokyo Electron Ltd プラズマエッチング方法
US7468324B2 (en) * 2004-12-08 2008-12-23 The University Court Of The University Of Edinburgh Microelectromechanical devices and their fabrication
JP4071787B2 (ja) * 2004-12-13 2008-04-02 Tdk株式会社 磁気記録媒体の製造方法
US7291286B2 (en) * 2004-12-23 2007-11-06 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
KR100615711B1 (ko) * 2005-01-25 2006-08-25 삼성전자주식회사 필름 벌크 어쿠스틱 공진기를 이용한 대역 필터 및 그제조방법.
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP2006222156A (ja) * 2005-02-08 2006-08-24 Toshiba Corp 有機膜加工方法
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
KR100900073B1 (ko) * 2005-03-16 2009-05-28 가부시키가이샤 히다치 고쿠사이 덴키 기판처리방법 및 기판처리장치
US7465670B2 (en) * 2005-03-28 2008-12-16 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, control program and computer storage medium with enhanced selectivity
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP2006351862A (ja) * 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
KR100801307B1 (ko) * 2005-06-28 2008-02-05 주식회사 하이닉스반도체 반도체 소자 제조 방법
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
JP4593402B2 (ja) * 2005-08-25 2010-12-08 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
JP4243268B2 (ja) * 2005-09-07 2009-03-25 アドバンスド・マスク・インスペクション・テクノロジー株式会社 パターン検査装置、及びパターン検査方法
US20070056925A1 (en) * 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
US7531461B2 (en) * 2005-09-14 2009-05-12 Tokyo Electron Limited Process and system for etching doped silicon using SF6-based chemistry
US20070056927A1 (en) * 2005-09-14 2007-03-15 Tsou Len Y Process and system for etching doped silicon
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
JP4488999B2 (ja) * 2005-10-07 2010-06-23 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
US7655572B2 (en) * 2005-10-24 2010-02-02 Tokyo Electron Limited Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, control program and computer storage medium
JP2007123399A (ja) * 2005-10-26 2007-05-17 Hitachi High-Technologies Corp ドライエッチング方法
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
KR20070047624A (ko) * 2005-11-02 2007-05-07 주성엔지니어링(주) 박막 패턴 형성 방법
US20070102399A1 (en) * 2005-11-07 2007-05-10 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program and computer-readable storage medium
US7303999B1 (en) * 2005-12-13 2007-12-04 Lam Research Corporation Multi-step method for etching strain gate recesses
US7335602B2 (en) * 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
JP2009525604A (ja) * 2006-02-01 2009-07-09 アルカテル−ルーセント 異方性エッチングの方法
US7410593B2 (en) * 2006-02-22 2008-08-12 Macronix International Co., Ltd. Plasma etching methods using nitrogen memory species for sustaining glow discharge
US20070218697A1 (en) * 2006-03-15 2007-09-20 Chung-Chih Chen Method for removing polymer from wafer and method for removing polymer in interconnect process
US20070218681A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
JP4865373B2 (ja) * 2006-03-17 2012-02-01 株式会社日立ハイテクノロジーズ ドライエッチング方法
US20070221616A1 (en) * 2006-03-24 2007-09-27 Yi-Tyng Wu Etching method
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US7790047B2 (en) * 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
TWI437633B (zh) * 2006-05-24 2014-05-11 Ulvac Inc Dry etching method for interlayer insulating film
US7651948B2 (en) * 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7572737B1 (en) * 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
US7541289B2 (en) * 2006-07-13 2009-06-02 Applied Materials, Inc. Process for removing high stressed film using LF or HF bias power and capacitively coupled VHF source power with enhanced residue capture
US7449414B2 (en) * 2006-08-07 2008-11-11 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process
US7851367B2 (en) * 2006-08-31 2010-12-14 Kabushiki Kaisha Toshiba Method for plasma processing a substrate
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
KR100815186B1 (ko) * 2006-09-11 2008-03-19 주식회사 하이닉스반도체 돌출형상의 텅스텐플러그를 구비한 반도체소자의 제조 방법
KR100858082B1 (ko) * 2006-10-17 2008-09-10 삼성전자주식회사 니켈 산화물층의 식각 방법
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US20080102640A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
US20080102643A1 (en) * 2006-10-31 2008-05-01 United Microelectronics Corp. Patterning method
US20080102644A1 (en) * 2006-10-31 2008-05-01 Novellus Systems, Inc. Methods for removing photoresist from a semiconductor substrate
US8252640B1 (en) 2006-11-02 2012-08-28 Kapre Ravindra M Polycrystalline silicon activation RTA
US7718543B2 (en) * 2006-12-08 2010-05-18 Applied Materials, Inc. Two step etching of a bottom anti-reflective coating layer in dual damascene application
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
JP4389229B2 (ja) * 2006-12-20 2009-12-24 エルピーダメモリ株式会社 半導体装置の製造方法
US7682986B2 (en) * 2007-02-05 2010-03-23 Lam Research Corporation Ultra-high aspect ratio dielectric etch
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
JP5568209B2 (ja) * 2007-03-01 2014-08-06 ピーエスフォー ルクスコ エスエイアールエル 半導体デバイスの製造方法および製造装置
US20080214007A1 (en) * 2007-03-02 2008-09-04 Texas Instruments Incorporated Method for removing diamond like carbon residue from a deposition/etch chamber using a plasma clean
TW200843039A (en) * 2007-04-16 2008-11-01 Ind Tech Res Inst Method for forming a memory device and method for etching a phase change layer
DE102007033685A1 (de) * 2007-07-19 2009-01-22 Robert Bosch Gmbh Verfahren zum Ätzen einer Schicht auf einem Silizium-Halbleitersubstrat
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
CN101809721B (zh) * 2007-09-27 2013-03-06 朗姆研究公司 电介质蚀刻中的形貌控制
CN101809723B (zh) * 2007-09-27 2012-04-04 朗姆研究公司 蚀刻蚀刻层的方法和装置
US8133817B2 (en) * 2007-11-29 2012-03-13 Applied Materials, Inc. Shallow trench isolation etch process
US20090156012A1 (en) * 2007-12-12 2009-06-18 Applied Materials, Inc. Method for fabricating low k dielectric dual damascene structures
US20090163033A1 (en) * 2007-12-21 2009-06-25 Guowen Ding Methods for extending chamber component life time
AU2008348838A1 (en) 2008-01-23 2009-07-30 Solvay Fluor Gmbh Process for the manufacture of solar cells
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
KR100875180B1 (ko) * 2008-07-10 2008-12-22 주식회사 동부하이텍 반도체 소자의 제조 방법
EP2144117A1 (en) * 2008-07-11 2010-01-13 The Provost, Fellows and Scholars of the College of the Holy and Undivided Trinity of Queen Elizabeth near Dublin Process and system for fabrication of patterns on a surface
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US8153532B1 (en) * 2008-12-04 2012-04-10 Hrl Laboratories, Llc Bilayer dielectric interconnection process
US8986561B2 (en) * 2008-12-26 2015-03-24 Tokyo Electron Limited Substrate processing method and storage medium
US8211805B2 (en) * 2009-02-13 2012-07-03 Vanguard International Semiconductor Corporation Method for forming via
JP2010205967A (ja) * 2009-03-04 2010-09-16 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US8277672B2 (en) * 2009-04-17 2012-10-02 Tiza Lab, LLC Enhanced focused ion beam etching of dielectrics and silicon
WO2010138999A1 (en) * 2009-06-01 2010-12-09 The Australian National University Plasma etching of chalcogenides
US8696922B2 (en) * 2009-06-22 2014-04-15 Micron Technology, Inc. Methods of plasma etching platinum-comprising materials, methods of processing semiconductor substrates in the fabrication of integrated circuitry, and methods of forming a plurality of memory cells
US20110011534A1 (en) * 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
US9117769B2 (en) 2009-08-27 2015-08-25 Tokyo Electron Limited Plasma etching method
JP2011049360A (ja) * 2009-08-27 2011-03-10 Tokyo Electron Ltd プラズマエッチング方法
KR101430093B1 (ko) * 2010-03-04 2014-09-22 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법, 반도체 디바이스의 제조 방법 및 플라즈마 에칭 장치
US20110236806A1 (en) * 2010-03-25 2011-09-29 Applied Materials, Inc. Dc voltage charging of cathode for plasma striking
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US20110303639A1 (en) * 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for processing substrates having metal hard masks
JP5510162B2 (ja) * 2010-07-30 2014-06-04 日立金属株式会社 圧電体薄膜ウェハの製造方法、圧電体薄膜素子、及び圧電体薄膜デバイス
JP5698558B2 (ja) * 2011-02-21 2015-04-08 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US9023227B2 (en) * 2011-06-30 2015-05-05 Applied Materials, Inc. Increased deposition efficiency and higher chamber conductance with source power increase in an inductively coupled plasma (ICP) chamber
JP5912637B2 (ja) * 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US8901007B2 (en) * 2013-01-03 2014-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Addition of carboxyl groups plasma during etching for interconnect reliability enhancement
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
KR102333443B1 (ko) * 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US9691625B2 (en) * 2015-11-04 2017-06-27 Lam Research Corporation Methods and systems for plasma etching using bi-modal process gas composition responsive to plasma power level
US10103008B2 (en) * 2016-01-12 2018-10-16 Fei Company Charged particle beam-induced etching
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
JP2018046185A (ja) 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
CN108919407A (zh) * 2018-07-11 2018-11-30 京东方科技集团股份有限公司 金属线及金属线栅的制备方法以及线栅偏振片、电子装置
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
KR102401025B1 (ko) 2019-11-08 2022-05-24 도쿄엘렉트론가부시키가이샤 에칭 방법
SG10202010798QA (en) * 2019-11-08 2021-06-29 Tokyo Electron Ltd Etching method and plasma processing apparatus
CN112786441A (zh) 2019-11-08 2021-05-11 东京毅力科创株式会社 蚀刻方法及等离子体处理装置
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
US11227774B2 (en) * 2019-12-05 2022-01-18 Tokyo Electron Limited Methods and systems for etching silicon cyanide (SiCN) with multi-color selectivity
US20220392785A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Small gas flow monitoring of dry etcher by oes signal

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2904403A (en) 1957-06-07 1959-09-15 Du Pont Preparation of if5
GB1060708A (en) 1964-07-07 1967-03-08 Allied Chem Process for producing iodine pentafluoride
DE2823981A1 (de) 1978-06-01 1979-12-13 Hoechst Ag Verfahren zur herstellung von carbonyl-difluoriden
US4181678A (en) * 1978-09-15 1980-01-01 The United States Of America As Represented By The Secretary Of The Air Force Symmetrical perfluoroalkylene oxide α,ω-diacyl fluorides
US4181679A (en) * 1978-10-24 1980-01-01 The United States Of America As Represented By The Secretary Of The Air Force ω-Iodoperfluoroalkylene oxide acyl fluorides
US4260649A (en) 1979-05-07 1981-04-07 The Perkin-Elmer Corporation Laser induced dissociative chemical gas phase processing of workpieces
US4498953A (en) 1983-07-27 1985-02-12 At&T Bell Laboratories Etching techniques
US5565038A (en) 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
US5254176A (en) 1992-02-03 1993-10-19 Tokyo Electron Limited Method of cleaning a process tube
US5445712A (en) 1992-03-25 1995-08-29 Sony Corporation Dry etching method
US5338394A (en) 1992-05-01 1994-08-16 Alliedsignal Inc. Method for etching indium based III-V compound semiconductors
JP3109253B2 (ja) 1992-06-29 2000-11-13 ソニー株式会社 ドライエッチング方法
JP2514545B2 (ja) 1992-09-21 1996-07-10 大阪ダイヤモンド工業株式会社 超砥粒砥石の再生方法
US5534107A (en) 1994-06-14 1996-07-09 Fsi International UV-enhanced dry stripping of silicon nitride films
ES2104521T3 (es) 1994-07-11 1999-05-01 Allied Signal Inc Proceso para la fabricacion de 1,1,1,3,3-pentafluoropropano.
US5545774A (en) 1994-12-08 1996-08-13 E. I. Du Pont De Nemours And Company Process for the manufacture of 1,1,1,3,3,3-hexafluoropropane
US5594159A (en) 1995-04-13 1997-01-14 E I Du Pont De Nemours And Company Producing CF3 CH2 CF3 and/or CF3 CH═CF2 by the conversion of alpha-hydroperfluoroisobutyric acid compounds
JPH09129612A (ja) 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチングガス及びエッチング方法
US5626775A (en) 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021225263A1 (ko) * 2020-05-04 2021-11-11 아주대학교 산학협력단 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법
KR20210135084A (ko) * 2020-05-04 2021-11-12 아주대학교산학협력단 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법

Also Published As

Publication number Publication date
JP2002500444A (ja) 2002-01-08
EP1042800A1 (en) 2000-10-11
AU2011099A (en) 1999-07-19
US6635185B2 (en) 2003-10-21
US20020096487A1 (en) 2002-07-25
WO1999034429A1 (en) 1999-07-08
KR100603654B1 (ko) 2006-07-24

Similar Documents

Publication Publication Date Title
KR100603654B1 (ko) 플루오르화 카보닐 화합물을 이용한 에칭 및 세정방법
US5756400A (en) Method and apparatus for cleaning by-products from plasma chamber surfaces
TW387018B (en) Plasma etch with trifluoroacetic acid and derivatives
KR100630483B1 (ko) 지구 온난화 충격을 감소시키는 하이드로플루오로카본에칭 화합물
US7111629B2 (en) Method for cleaning substrate surface
US20020124867A1 (en) Apparatus and method for surface cleaning using plasma
EP1437768A1 (en) Plasma cleaning gas and plasma cleaning method
US5597444A (en) Method for etching semiconductor wafers
KR102563633B1 (ko) 에칭 방법 및 플라즈마 에칭 재료
EP1143498A2 (en) Post etch photoresist and residue removal process
JP3559691B2 (ja) 半導体装置の製造方法
JP2692707B2 (ja) トリフルオロ酢酸及びその誘導体を使用するプラズマエッチング法
JP3358808B2 (ja) 基板から有機物質を灰化する方法
WO1999034428A1 (en) Method of etching and cleaning using interhalogen compounds
EP0854502A2 (en) Iodofluorocarbon gas for the etching of dielectric layers and the cleaning of process chambers
JP3082329B2 (ja) 酸化シリコン上の窒化シリコンのエッチング方法
JP2681058B2 (ja) ドライエッチング方法
US6559060B2 (en) Process for the structuring of a substrate
Karecki et al. Characterization of iodoheptafluoropropane as a dielectric etchant. III. Effluent analysis
JPH0444320A (ja) ドライエッチング方法
JPH0541368A (ja) 膜形成基体の表面清浄化方法
JP2002198357A (ja) 半導体製造装置のクリーニングガス及びクリーニング方法
JPH03179733A (ja) ドライエツチング装置
Pruette et al. REACTOR DEPENDENCE OF NF3/HYDROCARBON CHEMISTRY FOR GLOBAL WARMING EMISSIONS REDUCTION IN DIELECTRIC ETCHING
JPH065557A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee