JP4186045B2 - ドライエッチングガスおよびドライエッチング方法 - Google Patents

ドライエッチングガスおよびドライエッチング方法 Download PDF

Info

Publication number
JP4186045B2
JP4186045B2 JP2002541719A JP2002541719A JP4186045B2 JP 4186045 B2 JP4186045 B2 JP 4186045B2 JP 2002541719 A JP2002541719 A JP 2002541719A JP 2002541719 A JP2002541719 A JP 2002541719A JP 4186045 B2 JP4186045 B2 JP 4186045B2
Authority
JP
Japan
Prior art keywords
etching
chf
gas
silicon
dry etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002541719A
Other languages
English (en)
Other versions
JPWO2002039494A1 (ja
Inventor
新吾 中村
充司 板野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Daikin Industries Ltd
Original Assignee
Daikin Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Daikin Industries Ltd filed Critical Daikin Industries Ltd
Publication of JPWO2002039494A1 publication Critical patent/JPWO2002039494A1/ja
Application granted granted Critical
Publication of JP4186045B2 publication Critical patent/JP4186045B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Description

【0001】
技術分野
本発明は、ドライエッチングガス及びドライエッチング方法に関する。
【0002】
背景技術
半導体デバイスの集積化が進むに従い、微細な高アスペクト比(深さ/[ホール径などのパターン寸法])のコンタクトホール、ビアホールおよび配線パターンなどの形成が必要になってきた。酸化シリコン膜などのシリコン系材料のエッチングにおいては、従来、Arを多量に混合したc−C/Ar(/O)などのガスをエッチング装置に導入しプラズマを発生させてエッチングし、コンタクトホール等の上述のパターンが形成されることが多かった。しかし、環状c−Cは地球温暖化効果の高いガスであり、今後、排出の削減は必須であり、その使用が制限される可能性もある。また、環状c−CはArを混合しないと、例えば酸化膜エッチング等において良好なエッチング形状を得ようとした場合、対レジスト選択比、対シリコン選択比が十分得られない。さらに酸素を添加しないと、パターンサイズが小さくなるほどイオンがパターン深部まで行き届きにくくなり、フルオロカーボンポリマー膜の堆積が優勢になる。その結果、エッチング速度が低下(これをマイクロローディング効果という)し、微細なパターンではエッチングがストップしてしまう(これをエッチストップという)。一方、酸素を添加することでマイクロローディング効果を抑制したとしても、レジスト、シリコンに対する選択比が低下するので高アスペクト比のパターンを形成することが難しい。さらに、Arを多量に混合するとプラズマ中の高エネルギー電子が多くなり、デバイスにダメージを与える問題も報告されている(T.Mukai and S.Samukawa,Proc.Symp.Dry.Process(Tokyo,1999)pp39−44.)。
【0003】
本発明は、地球温暖化の影響が非常に小さいエッチングガスを用いて、コンタクトホールやビアホールなどのホール、およびライン、スペース、配線パターンなどのサイズが微細であってもエッチング速度が低下しない、エッチング速度のパターンサイズ依存性が小さい、エッチストップのない高アスペクト比微細パターンを形成できるドライエッチングガスおよびドライエッチング方法を提供することを目的とする。
【0004】
発明の開示
本発明は、以下のドライエッチングガス及びドライエッチング方法を提供するものである。
項1. CFC≡CCFを20〜99%及びCFCF=CFCFを1〜80%含むドライエッチングガス。
項2. さらにHe、Ne、Ar、Xe、Kr、N、NH、H、CH、C、C、C、C、O、CO、CO、(CFC=O、CFCFOCF、CFOCF、CFI、CFCFI、(CFCFI、CFCFCFI、CFBr、CFCFBr、(CFCFBr、CFCFCFBr、CFCl、CFCFCl、(CFCFCl、CFCFCFCl、CF=CFI、CF=CFCl、CF=CFBr、CF=CI、CF=CCl、CF=CBr、CH、CHF、CHF、CFCHF、CHFCHF、CFCHF、CHFCHF、CFCH、CHFCHF、CF=CHF、CHF=CHF、CH=CF、CH=CHF、CFCH=CF、CFCH=CH、CHCF=CH、CF、C、C、C10、c−C、CF=CF、CF=CFCF=CF、CFCF=CFCF=CF、及びc−Cからなる群から選ばれる少なくとも1種のガスを含み、CF CF=CFCF と該少なくとも1種のガスとの合計が1〜80%である項1に記載のドライエッチングガス。
項3. 1又は2に記載のドライエッチングガスのガスプラズマで、酸化シリコン膜及びシリコンを含有する低誘電率膜のシリコン系材料をエッチングすることを特徴とするドライエッチング方法。
項4. 1又は2に記載のドライエッチングガスを用いて、圧力2〜50mTorr、電子密度1010〜1012cm−3、電子温度2〜7eV、のプラズマ条件、およびウェハー温度−40〜100℃、チャンバー壁温度20〜200℃の装置条件において、酸化シリコン膜及びシリコンを含有する低誘電率膜のシリコン系材料をエッチングすることを特徴とするドライエッチング方法。
【0005】
本発明において、「ヘテロ原子を含みうるフルオロカーボンを骨格に持つ三重結合を有する化合物」とは、「フッ素と炭素で基本骨格を形成し三重結合(−C≡C−)構造を有しながら、フッ素と炭素以外の原子を含んでも良い」ことを意味する。ヘテロ原子としては、Cl、Br、Iなどが挙げられる。
【0006】
本発明で使用するドライエッチングガスは、フッ素と炭素で基本骨格を形成し三重結合(−C≡C−)構造を有しながら、フッ素と炭素以外のヘテロ原子を含んでも良い化合物の少なくとも1種(以下、「エッチングガス成分」ということがある)を含むものであり、
好ましくは三重結合を有する一般式(1):
(1)
(a,b,c及びXは前記に定義されたとおりである。)で表される化合物、より好ましくは一般式(2):
2m+1C≡CY (2)
(mおよびYは前記に定義されたとおりである。)
で表される化合物を含み、
さらに好ましくは一般式(3):
CFC≡CY (3)
(Yは前記に定義されたとおりである。)で表される化合物、
特に好ましくは、CFC≡CCF,CFC≡CF,CFC≡CCFCFを含む。
【0007】
一例として、特に好ましい該ドライエッチングガス、例えば、
CFC≡CCFのプラズマでは、CF イオンとCFCおよびC≡Cフラグメントから発生する低分子のラジカルをそれぞれ多く含んでいる。CF イオンはエッチング効率が高いため、低いバイアス電力でのエッチングが可能となりレジストなどのマスクやシリコンなどの下地に与えるダメージが少ない。CFCフラグメントから発生するラジカルは密度の高い平坦なフルオロカーボンポリマー膜を形成し、C≡Cフラグメントから発生するラジカルは炭素成分の多い硬いフルオロカーボンポリマー膜を形成する。これらのラジカルにより形成されたフルオロカーボンポリマー膜は、密度が高い性質と炭素成分が多く硬い性質の両方を併せ持った膜となる。この膜はプラズマ中で被エッチング基板上に堆積し、基板に入射してくるCF を多く含むイオン群との相互作用により、被エッチング物質(例えば酸化シリコン膜など)と反応層を形成し反応効率を向上させるとともに、レジストなどのマスクやシリコンなどの下地を保護しエッチング選択比を向上させる。この様なエッチング反応層や保護膜を形成するフルオロカーボン膜の前駆体であるCFCフラグメントおよびC≡Cフラグメントから発生する低分子ラジカルとCF を多く含むイオン群とのバランスを取ることにより、酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料を選択的にエッチングする。このようなエッチング効率の高いCF とCFCフラグメントおよびC≡Cフラグメントから発生する低分子ラジカルとの相互作用によるエッチングでは、イオンのエッチング能力の不足や高分子ラジカルによる過剰のフルオロカーボン堆積が起こりにくく、コンタクトホール、ビアホールおよび配線などのサイズが小さくなり高アスペクト比パターンになってもエッチング速度が低下する現象(マイクロローディング効果という)が生じにくい。
【0008】
CFC≡CCFなどの低分子化合物を単独で使用した場合やこれらにCFCF=CFCF、CF=CFおよびCFCF=CFなどの低分子化合物を併用ガスとして用いた場合は、CF イオンがより多く、高分子ラジカルの発生がより少ないため、マイクロローディング効果はさらに小さくなる利点がある。
【0009】
より好ましい該ドライエッチングガス、例えば、CFCFC≡CCFCFのプラズマにおいても、CF イオンとCFCFCおよびC≡Cフラグメントから発生する低分子のラジカルをそれぞれ多く含んでいる。
【0010】
好ましい該ドライエッチングガス、例えば、CFCHFC≡CCHFCFのプラズマにおいても、その効果は変わらず、水素Hが分子中に入った事により、レジスト等のマスクやシリコンなどの下地に対して、シリコン系材料のエッチング選択比が高くなる効果も付加できる。また、Hを入れることにより分子量が下がり、沸点を低下させることができる。これにより、ガスラインを加熱して供給しなければならなかった化合物も、加熱なしに容易に供給できるようになる。
【0011】
Hの代わりにヨウ素等のハロゲンを含む化合物では、解離エネルギーがフッ素Fの場合よりも小さくなり、電子温度を低くして電子密度を上げる効果がある。電子密度が高いほどイオン密度も高くなりエッチング速度が増大する。電子温度が低く抑えられると過剰な解離を抑制でき、エッチングに必要なCFラジカルやCF イオンなどを得やすくなる。
【0012】
本発明で使用するドライエッチングガスは、フッ素と炭素で基本骨格を形成し三重結合−C≡C−構造を有しながら、フッ素と炭素以外のヘテロ原子を含んでも良い化合物の少なくとも1種(以下、「エッチングガス成分」ということがある)を含むものであり、好ましくは三重結合を有する一般式(1):
(1)
(a,b,cおよびXは前記に定義されたとおりである。)で表される化合物の少なくとも1種からなる。
【0013】
一般式(1)の化合物において、
aは2〜7の整数、好ましくは2〜5である。
bは1〜12の整数、好ましくは3〜8である。
cは0〜8の整数、好ましくは0〜5である。
より好ましいドライエッチングガスは、一般式(2):
2m+1C≡CY (2)
(mおよびYは前記に定義されたとおりである。)
で表される化合物の少なくとも1種からなる。
【0014】
具体的には、
FC≡CF,FC≡CCFCF,IC≡CCFCF,FC≡CCFCFCF,FC≡CCF(CF)CF,FC≡CC(CF,CFCFC≡CCFCF,FC≡CCFCFCFCF,FC≡CCF(CF)CFCF,FC≡CCFCF(CF)CF,CFCFC≡CCFCF,HC≡CCFCF,HC≡CCFCFCF,HC≡CCF(CF)CF,HC≡CC(CF,CFCFC≡CCHFCF,FC≡CCHFCFCFCF,FC≡CCH(CF)CFCF,FC≡CCHCF(CF)CFが例示され、
mは1〜5の整数、好ましくは1〜3である。
dは1〜4の整数、好ましくは1〜2である。
eは0〜9の整数、好ましくは3〜7である。
fは0〜9の整数、好ましくは0〜6である。
【0015】
本発明のドライエッチングガスは、さらに好ましくは一般式(3):
CFC≡CY (3)
(Yは前記に定義されたとおりである。)で表される化合物の少なくとも1種からなる。
好ましい一般式(3)の化合物としては、具体的には、
CFC≡CCF,CFC≡CF,CFC≡CCFCF,CFC≡CCFCFCF,CFC≡CCF(CF)CF,CFC≡CC(CF,CFC≡CC、CFC≡CH、CFC≡CI、CFC≡CCHF、CFC≡CCHF、CFC≡CCH、CFC≡CCHFCF、CFC≡CCHCF、CFC≡CCHFCFCF、CFC≡CCHCFCF、CFC≡CCFCHFCF、CFC≡CCFCHCF、CFC≡CCHFCHFCF、CFC≡CCHFCHCF、CFC≡CCHCHFCF、CFC≡CCHCHCF、CFC≡CCFCHCHCF、CFC≡CCHCHCHCF、CFC≡CCHCHFCHCF、CFC≡CCFCHCHFCF、CFC≡CCH(CF)CFなどが例示される。
【0016】
一般式(3)の化合物において、
dは1〜4の整数、好ましくは1〜2である。
eは0〜9の整数、好ましくは3〜7である。
fは0〜9の整数、好ましくは0〜6である。
特に好ましい一般式(3)の化合物としては、具体的には、CFC≡CCF,CFC≡CF,CFC≡CCFCFが例示される。
【0017】
本発明のドライエッチングガスは、フッ素と炭素で基本骨格を形成し三重結合(−C≡C−)構造を有しながら、フッ素と炭素以外のヘテロ原子を含んでも良い化合物に加えて、さらに希ガス、不活性ガス、NH、H、炭化水素、O、含酸素化合物、ハロゲン化合物、HFC(Hydrofluorocarbon)及び二重結合を持つPFC(perfluorocarbon)ガスからなる群から選ばれる少なくとも1種(以下、「併用ガス成分」ということがある)を混合して使用することができる。
【0018】
好ましい併用ガス成分としては、二重結合を有する一般式(4):
(4)
(XはCl,Br,I又はH,g=2−6、h=4−12、i=0−2、h+i=2g)で表される化合物が例示される。
さらに好ましい併用ガス成分は、一般式(5):
Rfh=CX (5)
(RfhはCFCF、CFHおよびCFからなる群から選ばれるいずれかであり、XおよびYは、同一又は異なってF,Cl,Br,I,HまたはC(j=1−4、k+l=2j+1)を示す。)で表される化合物、特に好ましくはCFCF=CFCF,CF=CFおよびCFCF=CFからなる群から選ばれる少なくとも1種である。
【0019】
また、本発明のドライエッチングガスは、具体的には、He、Ne、Ar、Xe、Krなどの希ガス;Nなどの不活性ガス;NH、H、CH、C、C、C、Cなどからなる炭化水素、O、CO、COなどの含酸素化合物ガス;CFI、CFCFI、(CFCFI、CFCFCFI、CFBr、CFCFBr、(CFCFBr、CFCFCFBr、CFCl、CFCFCl、(CFCFCl、CFCFCFCl、CF=CFI、CF=CFCl、CF=CFBr、CF=CI、CF=CCl、CF=CBrなどからなるハロゲン化合物;及びCH、CHF、CHF、CFCHF、CHFCHF、CFCHF、CHFCHF、CFCH、CHFCHF、CHCHF、CHCHF、CFCFCFH、CFCHFCF、CHFCFCHF、CFCFCHF、CFCHFCHF、CFCHCF、CHFCFCHF、CFCFCH、CFCHCHF、CHCFCHF、CHCHFCH、CF=CHF、CHF=CHF、CH=CF、CH=CHF、CFCH=CF、CFCH=CH、CHCF=CHなどからなるHFC(Hydrofluorocarbon)ガス及びCF、C、C、C10、c−C、CF=CF、CF=CFCF=CF、CFCF=CFCF=CF、c−Cなどからなる単結合および二重結合の少なくとも一種を持つPFC(perfluorocarbon)ガスからなる群から選ばれる少なくとも1種以上の併用ガス成分をエッチングガス成分と混合して使用しても良い。
【0020】
二重結合に直接結合したCFCFを有する化合物、一般式(4)の化合物、一般式(5)の化合物およびCFCF=CFCFおよびCFCF=CFなどを併用ガスとして使用すると複合効果によりエッチング効果はさらに大きいものとなる。これらの化合物のガスプラズマにおいてもエッチング効率の高いCF イオンが選択的に発生し、CFCFフラグメントから発生するラジカルによる密度の高い平坦なフルオロカーボンポリマー膜が被エッチング基板上に堆積する。これらのポリマー膜に由来するエッチング反応層や保護膜が形成され、CFC≡CCFとCFCF=CFCFから選択的に発生したCF イオンを多く含むイオン群により酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料をマスクやシリコンなどの下地に対して選択的にエッチングする。また、CFCF=CFCFおよびCFCF=CFなど低分子化合物を併用ガスとして用いた場合は、高分子ラジカルの発生が少なく、マイクロローディング効果も起こりにくい利点がある。
【0021】
CF=CFを併用ガスとして使用するとレジストなどのマスクやシリコンなどの下地に対して酸化膜などのシリコン系材料のエッチング選択比が向上する効果がある。プラズマ中においてCF イオンが選択的に発生しないが、CFラジカルを主成分とする密度の高い平坦なフルオロカーボンポリマーが被エッチング基板上に堆積する。このポリマー膜に由来するエッチング反応層や保護膜が形成され、CFC≡CCFから選択的に発生したCF イオンを多く含むイオン群により酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料を選択的にエッチングする。CF=CFを併用ガスとして使用するとエッチング効率は多少低下するが、CF=CFから多量に発生するCFラジカルに由来するフルオロカーボンポリマー膜がエッチング効率の高い反応層と密度の高い保護膜を形成し、エッチング選択比が向上する効果がある。高分子ラジカルの発生しないためマイクロローディング効果が非常に小さい。
【0022】
He、Ne、Ar、Xe、Krなどの希ガスは、プラズマの電子温度、電子密度を変化させることができ、また、希釈効果もある。この様な希ガスを併用することにより、フルオロカーボンラジカルやフルオロカーボンイオンのバランスをコントロールして、エッチングの適正な条件を決めることができる。
【0023】
、H、NHを併用することで、低誘電率膜のエッチングにおいて良好なエッチング形状が得られる。例えば、c−CとArとの混合ガスにさらにNを併用して有機SOG膜の低誘電率膜をエッチングした場合、c−CとArとOを併用した場合したよりもエッチング形状がよいことがS.Uno et al,Proc.Symp.Dry.Process(Tokyo,1999)pp215−220に報告されている。
【0024】
炭化水素とHFCは、プラズマ中で炭素濃度の高いポリマー膜をレジストなどのマスクやシリコンなどの下地に堆積させエッチング選択比を向上させる。また、HFCはそれ自体からもエッチング種となるCHF などのイオンを発生させる効果もある。
、NH、炭化水素、HFCなどに含まれるHはFラジカルと結合しHFとなりプラズマ系内からFラジカルを取り除く効果があり、Fラジカルとレジストなどのマスクやシリコンなどの下地との反応を減らしエッチング選択比を向上させる。
【0025】
含酸素化合物は、CO、COや(CFC=Oなどのケトンやアセトン、CFCFOCFなどのエポキサイド、CFOCFなどのエーテルのような酸素を含んだ化合物を意味する。これらの含酸素化合物やOを併用することで、過剰なフルオロカーボンポリマー膜を取り除くことができ、微細パターンでエッチング速度が低下すること(マイクロローディング効果という)を抑制し、エッチングがストップするのを防ぐ効果がある。
【0026】
ハロゲン化合物とはCFI、CFCFI(CFCFI、CFCFCFI、CFBr、CFCFBr、(CFCFBr、CFCFCFBr、CFCl、CFCFCl、(CFCFCl、CFCFCFCl、CF=CFI、CF=CFCl、CF=CFBr、CF=CI、CF=CCl、CF=CBrなどの化合物のようにフルオロカーボン分子中のフッ素が、臭素、ヨウ素などと置換された化合物を意味する。フルオロカーボン分子中のフッ素を、塩素、臭素、ヨウ素に置換することにより、結合が弱くなるので高い電子密度と低い電子温度のプラズマを発生しやすくなる。
【0027】
電子密度が高いほどイオン密度も高くなりエッチング速度が増大する。電子温度が低く抑えられると過剰な解離を抑制でき、エッチングに必要なCFラジカルやCF イオンなどを得やすくなる。この様な効果が最も大きいのがヨウ素化合物である。特開平11−340211号公報、Jpn.J.Appl.Rhys.Vol.39(2000)pp1583−1596などに示されているように、該ヨウ素化合物は低い電子温度のままで電子密度を上げやすく、これらの中にはエッチング効率の高いCF を選択的に発生するものがある。
分子中に二重結合を持つHFC、PFCは地球温暖化効果が小さく、プラズマ中で二重結合が解離しやすいため、エッチングに必要なラジカルやイオンを制御しやすい。
【0028】
本発明のドライエッチングガスとして、三重結合に直接結合したCFC部分を持つエッチングガス成分と併用ガス成分からなる混合ガスを使用する場合、通常、エッチングガス成分の少なくとも1種を流量比10%程度以上、併用ガス成分の少なくとも1種を流量比90%程度以下使用する。好ましくはエッチングガス成分の少なくとも1種を流量比20〜99%程度、併用ガス成分の少なくとも1種のガスを流量比1〜80%程度使用する。好ましい併用ガス成分は、Ar、N、O、CO、CFCF=CFCF、CF=CF、CFCF=CF、CFI及びCHからなる群から選ばれる少なくとも1種である。
【0029】
酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料は、MSQ(Methylsilsesquioxane)などのシロキサン結合を有する有機高分子材料である有機SOG膜、HSQ(Hydogensilsesquioxane)などの無機絶縁膜およびこれらの多孔質膜、SiOFなどの酸化シリコン膜中にF(フッ素)を含有する膜、窒化シリコン膜、SiOC膜などである。また、これらのシリコン系材料は、塗布、CVD(Chemical Vapor Deposition)など方法で膜形成されることが多いが、これ以外の方法で形成した膜であってもよい。
酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料とは、膜や層構造を持った材料に限らず、シリコンを含む化学的組成も持つ全体がその材料そのもので構成される物質でもよい。例えば、ガラスや石英板などの固体物質がこれに相当する。
【0030】
酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料を、レジストやポリシリコンなどのマスク、シリコン、窒化シリコン膜、炭化シリコン、シリサイド、金属窒化物などの下地に対して選択的にエッチングすることが可能である。さらに、半導体プロセスにおいては、被エッチング材料であるシリコン系材料層と下地である窒化シリコン膜などのエッチングストッパー膜とを連続して一度にエッチングする必要が起こる場合もあり得る。この様な場合は、レジストなどのマスクのエッチング速度が下地のエッチング速度よりも小さい条件を選ぶことにより、シリコン系材料層とエッチングストッパー膜などの下地を連続したプロセスの中でエッチングすることが可能となる。
【0031】
好ましいエッチング条件を以下に示す:
*放電電力200〜3000W、好ましくは400〜2000W;
*バイアス電力25〜2000W、好ましくは100〜1000W;
*圧力100mTorr以下、好ましくは2〜50mTorr;
*電子密度10−1013cm−3好ましくは1010−1012cm−3
*電子温度2〜9eV好ましくは2〜7eV
*ウェハー温度−40〜100℃、好ましくは−30〜50℃。
*チャンバー壁温度−30〜300℃、好ましくは、20〜200℃
放電電力とバイアス電力はチャンバーの大きさや電極の大きさで異なる。小口径ウエハー用の誘導結合プラズマ(ICP)エッチング装置(チャンバー容積3500cm)で酸化シリコン膜及び/又は窒化シリコン膜及び/又はシリコンを含有する低誘電率膜をコンタクトホールなどをエッチングする際のこれらの好ましいエッチング条件は
*放電電力200〜1000W、好ましくは300〜600W
*バイアス電力50−500W、好ましくは100〜300Wである。
なお、ウェハーが大口径化するとこれらの値も大きくなる。
【0032】
発明を実施するための最良の形態
以下、本発明を実施例及び比較例を用いてより詳細に説明する。
実施例1及び比較例1
ICP(Inductive Coupled Plasma)放電電力1000W、バイアス電力250W、圧力5mTorr、電子密度9×1010−1.5×1011cm−3、電子温度3.8−4.1eVのエッチング条件で環状c−C(比較例1)及びCFC≡CCF(実施例1)のエッチング特性を比較した。Si基板上に約1μm厚さの酸化シリコン(SiO)膜を有し、さらにその上にホール直径0.2μmのレジストパターンを有する半導体基板を深さ約1μmエッチングしたときのエッチング速度、選択比及び直径0.2μmホール底部径(μm)を以下の表1に示した。CFC≡CCFの方が、既存のエッチングガスである環状c−Cよりもエッチング速度は小さいが、レジストに対するエッチング選択比は大きい。また、c−Cではホール底部の径が0.10μmであり、本来のホールサイズよりも縮小して、エッチングがストップする傾向を示している。これに対して、CFC≡CCFはレジストパターン通りの加工がホール底部まで可能である。
【表1】
Figure 0004186045
【0033】
実施例2及び比較例2
ICP(Inductive Coupled Plasma)放電電力1000W、バイアス電力250W、圧力5mTorrのエッチング条件で、CFC≡CCF/CFCF=CFCF混合ガス(流量比35%/65%;実施例2)でコンタクトホールをエッチングした場合と既存のエッチングガスc−C/Ar混合ガス(流量比35%/65%;比較例2)でコンタクトホールをエッチングした場合とのエッチング速度と平面に対する直径0.2μmのエッチング速度の減少率を比較し、表2に示した。CFC≡CCF/CFCF=CFCF混合ガスはc−C/Ar混合ガスよりもエッチング速度の減少率が小さい。従って、異なった大きさのパターンをほぼ同じエッチング速度でエッチングでき、下地をエッチングする時間が少なくなりダメージの少ない半導体デバイスの製作に利用できる。
【表2】
Figure 0004186045
【0034】
本発明のドライエッチングガスに由来するガスプラズマでは、選択的に発生させたエッチング効率の高いCF を多く含むイオン群とCFCおよびC≡Cフラグメントから発生するラジカルからなる平坦で密度が高くかつ炭素成分が多く硬いフルオロカーボンポリマー膜により形成されるエッチング反応層や保護膜とのバランスを取ることにより、マイクロローディング効果を小さくして、酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料を選択的にエッチングする。
【0035】
CF イオンはエッチング効率を向上させ、低いバイアス電力でのエッチングが可能となりレジストやシリコンなどの下地に与えるダメージが少ない。CFCフラグメントから発生するラジカルは平坦で密度の高いフルオロカーボンポリマー膜を形成し、C≡Cフラグメントから発生するラジカルは炭素成分が多く硬いフルオロカーボンポリマー膜を形成する。これらの両方の性質を有する膜に由来するエッチング反応層や保護膜は、エッチング物質の反応効率を向上させ、レジストなどのマスクやシリコンなどの下地を保護しエッチング選択比を向上させる。エッチング効率の高いCF イオンと平坦で密度が高く炭素成分の多い硬いフルオロカーボン膜を形成するCFCおよびC≡Cフラグメントに由来するラジカルとのバランスをとり、マイクロローディング効果の小さい、エッチストップのないエッチングを実現する。

Claims (4)

  1. CFC≡CCFを20〜99%及びCFCF=CFCFを1〜80%含むドライエッチングガス。
  2. さらにHe、Ne、Ar、Xe、Kr、N、NH、H、CH、C、C、C、C、O、CO、CO、(CFC=O、CFCFOCF、CFOCF、CFI、CFCFI、(CFCFI、CFCFCFI、CFBr、CFCFBr、(CFCFBr、CFCFCFBr、CFCl、CFCFCl、(CFCFCl、CFCFCFCl、CF=CFI、CF=CFCl、CF=CFBr、CF=CI、CF=CCl、CF=CBr、CH、CHF、CHF、CFCHF、CHFCHF、CFCHF、CHFCHF、CFCH、CHFCHF、CF=CHF、CHF=CHF、CH=CF、CH=CHF、CFCH=CF、CFCH=CH、CHCF=CH、CF、C、C、C10、c−C、CF=CF、CF=CFCF=CF、CFCF=CFCF=CF、及びc−Cからなる群から選ばれる少なくとも1種のガスを含み、CF CF=CFCF と該少なくとも1種のガスとの合計が1〜80%である請求項1に記載のドライエッチングガス。
  3. 請求項1又は2に記載のドライエッチングガスのガスプラズマで、酸化シリコン膜及びシリコンを含有する低誘電率膜のシリコン系材料をエッチングすることを特徴とするドライエッチング方法。
  4. 請求項1又は2に記載のドライエッチングガスを用いて、圧力2〜50mTorr、電子密度1010〜1012cm−3、電子温度2〜7eV、のプラズマ条件、およびウェハー温度−40〜100℃、チャンバー壁温度20〜200℃の装置条件において、酸化シリコン膜及びシリコンを含有する低誘電率膜のシリコン系材料をエッチングすることを特徴とするドライエッチング方法。
JP2002541719A 2000-11-08 2001-11-08 ドライエッチングガスおよびドライエッチング方法 Expired - Fee Related JP4186045B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000341110 2000-11-08
JP2000341110 2000-11-08
PCT/JP2001/009769 WO2002039494A1 (fr) 2000-11-08 2001-11-08 Gaz de gravure seche et procede de gravure seche

Publications (2)

Publication Number Publication Date
JPWO2002039494A1 JPWO2002039494A1 (ja) 2004-03-18
JP4186045B2 true JP4186045B2 (ja) 2008-11-26

Family

ID=18815902

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002541719A Expired - Fee Related JP4186045B2 (ja) 2000-11-08 2001-11-08 ドライエッチングガスおよびドライエッチング方法

Country Status (5)

Country Link
US (1) US20040035825A1 (ja)
JP (1) JP4186045B2 (ja)
KR (1) KR100874813B1 (ja)
TW (1) TWI290741B (ja)
WO (1) WO2002039494A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017503350A (ja) * 2013-12-30 2017-01-26 ザ ケマーズ カンパニー エフシー リミテッド ライアビリティ カンパニー チャンバクリーニング及び半導体エッチング用ガス

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1249788C (zh) * 2000-12-21 2006-04-05 东京毅力科创株式会社 绝缘膜的蚀刻方法
WO2003041148A1 (fr) 2001-11-08 2003-05-15 Zeon Corporation Gaz pour reaction de plasma, son procede de production et d'utilisation
KR20040008467A (ko) * 2002-07-18 2004-01-31 주식회사 하이닉스반도체 반도체소자의 콘택홀 형성방법
JP4761502B2 (ja) * 2004-10-07 2011-08-31 株式会社アルバック 層間絶縁膜のドライエッチング方法
JP2006156992A (ja) * 2004-11-05 2006-06-15 Tokyo Electron Ltd プラズマ処理方法
JP2006196663A (ja) * 2005-01-13 2006-07-27 Tokyo Electron Ltd エッチング方法,プログラム,コンピュータ読み取り可能な記録媒体及びプラズマ処理装置
US20090191715A1 (en) * 2006-03-09 2009-07-30 Toshio Hayashi Method for etching interlayer dielectric film
JPWO2007116515A1 (ja) * 2006-04-07 2009-08-20 株式会社フィルテック 半導体装置及びその製造方法、ドライエッチング方法、配線材料の作製方法、並びにエッチング装置
US8125069B2 (en) 2006-04-07 2012-02-28 Philtech Inc. Semiconductor device and etching apparatus
KR100843204B1 (ko) 2006-09-14 2008-07-02 삼성전자주식회사 반도체 소자의 식각방법 및 이를 이용한 반도체 소자의제조방법
US7981308B2 (en) 2007-12-31 2011-07-19 Robert Bosch Gmbh Method of etching a device using a hard mask and etch stop layer
JP4978512B2 (ja) * 2008-02-29 2012-07-18 日本ゼオン株式会社 プラズマエッチング方法
CN102007196B (zh) * 2008-03-07 2014-10-29 高级技术材料公司 非选择性氧化物蚀刻湿清洁组合物及使用方法
WO2011031860A1 (en) * 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
JP5537324B2 (ja) * 2010-08-05 2014-07-02 株式会社東芝 半導体装置の製造方法
JP5276223B2 (ja) * 2010-09-28 2013-08-28 積水化学工業株式会社 エッチング方法及び装置
US10607850B2 (en) 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10276439B2 (en) 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures
US11688609B2 (en) 2020-05-29 2023-06-27 Tokyo Electron Limited Etching method and plasma processing apparatus

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4257905A (en) * 1977-09-06 1981-03-24 The United States Of America As Represented By The United States Department Of Energy Gaseous insulators for high voltage electrical equipment
JPS6077429A (ja) * 1983-10-04 1985-05-02 Asahi Glass Co Ltd ドライエツチング方法
US5366554A (en) * 1986-01-14 1994-11-22 Canon Kabushiki Kaisha Device for forming a deposited film
JP3008510B2 (ja) * 1991-02-16 2000-02-14 ダイキン工業株式会社 含フッ素エタンの2量体の製法
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
JPH0831802A (ja) * 1994-07-18 1996-02-02 Hitachi Ltd エッチング方法及びエッチング装置
JPH09191002A (ja) * 1996-01-10 1997-07-22 Sony Corp プラズマエッチング方法
US5674621A (en) * 1996-01-29 1997-10-07 Eastman Kodak Company Fuser members with an outermost layer of a fluorinated diamond like carbon
GB9617811D0 (en) * 1996-08-27 1996-10-09 Nycomed Imaging As Improvements in or relating to contrast agents
KR100490968B1 (ko) * 1996-10-30 2005-05-24 고교기쥬쯔잉초가다이효스루니혼고쿠 드라이 에칭 방법
US6602434B1 (en) * 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6143938A (en) * 1998-11-10 2000-11-07 E. I. Du Pont De Nemours And Company Process for perhalocycloalkane purification
TW449872B (en) * 1998-11-12 2001-08-11 Hyundai Electronics Ind Method for forming contacts of semiconductor devices
US6800210B2 (en) * 2001-05-22 2004-10-05 Reflectivity, Inc. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6544319B1 (en) * 2002-01-16 2003-04-08 Air Products And Chemicals, Inc. Purification of hexafluoro-1,3-butadiene

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017503350A (ja) * 2013-12-30 2017-01-26 ザ ケマーズ カンパニー エフシー リミテッド ライアビリティ カンパニー チャンバクリーニング及び半導体エッチング用ガス

Also Published As

Publication number Publication date
KR100874813B1 (ko) 2008-12-19
JPWO2002039494A1 (ja) 2004-03-18
US20040035825A1 (en) 2004-02-26
WO2002039494A1 (fr) 2002-05-16
KR20030051786A (ko) 2003-06-25
TWI290741B (en) 2007-12-01

Similar Documents

Publication Publication Date Title
JP4186045B2 (ja) ドライエッチングガスおよびドライエッチング方法
JP5569416B2 (ja) ドライエッチングガスおよびドライエッチング方法
JP2015159308A (ja) ドライエッチングガスおよびドライエッチング方法
JP2011124239A (ja) ドライエッチングガス及びそれを用いたドライエッチング方法
JP4839506B2 (ja) ドライエッチング方法
JP2005050908A (ja) Lsiデバイスのエッチング方法および装置
JP2002009058A (ja) エッチング方法
JP6773110B2 (ja) プラズマエッチング方法
JPWO2012124726A1 (ja) エッチングガスおよびエッチング方法
JP4015510B2 (ja) 半導体集積回路の多層配線用層間絶縁膜及びその製造方法
JPH04346427A (ja) ドライエッチング方法
JP4889199B2 (ja) 低誘電率層間絶縁膜のドライエッチング方法
JP4144795B2 (ja) 低誘電率層間絶縁膜のドライエッチング方法
JP4071064B2 (ja) エッチング方法
JP3834004B2 (ja) エッチング後処理方法
JP4681215B2 (ja) 低誘電率層間絶縁膜のドライエッチング方法
JP4500029B2 (ja) 低誘電率層間絶縁膜のドライエッチング方法
TW201735159A (zh) 電漿蝕刻方法
JP4990551B2 (ja) ドライエッチング方法
Antonelli et al. Designing Ultra Low-k Dielectric Materials for Ease of Patterning
JP2005033027A (ja) 低誘電率層間絶縁膜のドライエッチング方法
JP2014192322A (ja) エッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041025

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070613

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070810

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071003

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071129

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080121

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080813

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080826

R151 Written notification of patent or utility model registration

Ref document number: 4186045

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110919

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110919

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120919

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130919

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees