JP2008116949A5 - - Google Patents

Download PDF

Info

Publication number
JP2008116949A5
JP2008116949A5 JP2007280804A JP2007280804A JP2008116949A5 JP 2008116949 A5 JP2008116949 A5 JP 2008116949A5 JP 2007280804 A JP2007280804 A JP 2007280804A JP 2007280804 A JP2007280804 A JP 2007280804A JP 2008116949 A5 JP2008116949 A5 JP 2008116949A5
Authority
JP
Japan
Prior art keywords
introducing
processing
photomask layer
gas
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007280804A
Other languages
English (en)
Other versions
JP5484666B2 (ja
JP2008116949A (ja
Filing date
Publication date
Application filed filed Critical
Publication of JP2008116949A publication Critical patent/JP2008116949A/ja
Publication of JP2008116949A5 publication Critical patent/JP2008116949A5/ja
Application granted granted Critical
Publication of JP5484666B2 publication Critical patent/JP5484666B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (16)

  1. 処理チャンバにおいて、サポート部材にレチクルを配置する工程であって、前記レチクルが、光学的に透明な基板上に形成された金属フォトマスク層と、前記金属フォトマスク層上に堆積したパターン化レジスト材料とを含む工程と、
    酸素含有ガスと、塩素含有ガスと、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)のうち少なくとも1つとを含む処理ガスを導入する工程と、
    前記処理チャンバに電力を分配して、前記処理ガスから形成されたプラズマを生成する工程と、
    前記金属フォトマスク層の露出部分を前記プラズマを用いてエッチングする工程とを含むフォトリソグラフィーレチクルを処理する方法。
  2. 前記処理ガスを導入する工程が、無塩素ハロゲン含有ガスを、前記処理チャンバへ流す工程を含む請求項1記載の方法。
  3. 前記処理ガスを導入する工程が、臭化水素又はヨウ化水素のうち少なくとも1つを、前記処理チャンバへ流す工程を含む請求項2記載の方法。
  4. 前記処理ガスを導入する工程が、酸素、一酸化炭素又は二酸化炭素のうち少なくとも1つを前記処理チャンバへ流す工程を含む請求項1記載の方法。
  5. 前記処理ガスを導入する工程が、塩素、四塩化炭素又は塩酸のうち少なくとも1つを前記処理チャンバへ流す工程を含む請求項1記載の方法。
  6. 前記プラズマを生成する工程が、前記処理チャンバにおいて、約200ワット〜約1500ワットのRF電源をコイルに印加する工程と、前記処理チャンバにおいて、約5ワット〜約200ワットのバイアス電力をレチクルサポートに印加する工程とを含む請求項1記載の方法。
  7. 処理チャンバにおいて、サポート部材にレチクルを配置する工程であって、前記レチクルが、光学的に透明なケイ素系材料上に形成されたクロム系フォトマスク層と、前記クロム系フォトマスク層上に堆積したパターン化レジスト材料とを含む工程と、
    塩素ガスと、酸素ガス、及び、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)のうち少なくとも1つとを含む処理ガスを導入する工程と、
    処理中に、チャンバ圧を約3ミリトル〜約8ミリトルに、前記レチクルを約20℃〜約150℃の温度に維持する工程と、
    前記処理チャンバ近傍に配置されたコイルに約300〜約350ワットの電力を分配して、前記処理ガスからプラズマを生成する工程と、
    前記サポート部材に約15〜約20ワットのバイアス電力を供給する工程と、
    前記クロム系フォトマスク層の露出部分をエッチングする工程と、
    前記クロム系フォトマスク層を、約1:1以上のクロム系フォトマスク層対レジスト材料の除去レート比で、除去する工程とを含むフォトリソグラフィーレチクルを処理する方法。
  8. 前記処理ガスを導入する工程が、臭化水素を前記処理チャンバへ流す工程を含む請求項7記載の方法。
  9. 前記クロム系フォトマスク層が、クロム、酸窒化クロム又はこれらの組み合わせを含み、前記光学的に透明なケイ素系材料が、水晶、ケイ化モリブデン、酸窒化モリブデンケイ素又はこれらの組み合わせを含む請求項1又は7記載の方法。
  10. 前記レチクルが、酸窒化クロムの反射防止コーティングを含む請求項1又は7記載の方法。
  11. 前記処理ガスを導入する工程が、アルゴンを、5〜100sccmの流量で、前記処理チャンバへ流す工程を含む請求項1又は7記載の方法。
  12. 前記処理ガスを導入する工程が、アルゴンを、20〜45sccmの流量で、前記処理チャンバへ流す工程を含む請求項1又は7記載の方法。
  13. 前記処理ガスを導入する工程が、ヘリウム、アルゴン、キセノン、ネオン又はクリプトンのうち少なくとも1つを、前記処理チャンバへ流す工程を含む請求項1又は7記載の方法。
  14. 前記金属フォトマスク層をエッチングする工程は、前記金属フォトマスク層を、1:1〜約3:1の金属フォトマスク層対レジスト材料の除去レート比で選択的にエッチングすることを含む請求項1又は7記載の方法。
  15. 前記処理ガスを導入する工程が、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)のうち少なくとも1つを、約1sccm〜50sccmのレートで、処理チャンバへ流す工程を含む請求項1又は7記載の方法。
  16. 前記処理ガスを導入する工程が、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)のうち少なくとも1つを、約1sccm〜5sccmのレートで、処理チャンバへ流す工程を含む請求項1又は7記載の方法。
JP2007280804A 2006-10-30 2007-10-29 マスクエッチングプロセス Expired - Fee Related JP5484666B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US86347406P 2006-10-30 2006-10-30
US60/863,474 2006-10-30

Publications (3)

Publication Number Publication Date
JP2008116949A JP2008116949A (ja) 2008-05-22
JP2008116949A5 true JP2008116949A5 (ja) 2010-12-16
JP5484666B2 JP5484666B2 (ja) 2014-05-07

Family

ID=39052422

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007280804A Expired - Fee Related JP5484666B2 (ja) 2006-10-30 2007-10-29 マスクエッチングプロセス

Country Status (6)

Country Link
US (1) US20080179282A1 (ja)
EP (1) EP1918775A3 (ja)
JP (1) JP5484666B2 (ja)
KR (2) KR100944846B1 (ja)
CN (1) CN101174081A (ja)
TW (1) TWI410744B (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5107842B2 (ja) * 2008-09-12 2012-12-26 東京エレクトロン株式会社 基板処理方法
KR101360876B1 (ko) * 2009-06-03 2014-02-11 어플라이드 머티어리얼스, 인코포레이티드 식각을 위한 방법 및 장치
CN103837938A (zh) * 2012-11-20 2014-06-04 上海华虹宏力半导体制造有限公司 光纤对准器件及其制造方法
CN103730720B (zh) * 2013-12-20 2016-04-13 上海安费诺永亿通讯电子有限公司 一种在有遮挡结构的天线载体表面制作天线线路的方法
CN108132579B (zh) * 2016-12-01 2020-09-25 清华大学 光刻掩模板
CN115360093A (zh) 2018-09-21 2022-11-18 朗姆研究公司 蚀刻金属氧化物和保护腔室部件
CN111106005A (zh) * 2018-10-29 2020-05-05 中微半导体设备(上海)股份有限公司 一种图形的修剪方法及等离子体处理装置
CN109557761B (zh) * 2018-12-07 2022-03-08 深圳市华星光电半导体显示技术有限公司 掩膜板制作方法
US20220193828A1 (en) * 2020-12-23 2022-06-23 Amulaire Thermal Technology, Inc. Lift-off structure for sprayed thin layer on substrate surface and method for the same
US11915932B2 (en) * 2021-04-28 2024-02-27 Applied Materials, Inc. Plasma etching of mask materials
CN113517188B (zh) * 2021-06-29 2024-04-26 上海华力集成电路制造有限公司 采用多层掩模板的图形化工艺方法

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0023429B1 (en) * 1979-07-31 1985-12-18 Fujitsu Limited Dry etching of metal film
JPS58125829A (ja) * 1982-01-22 1983-07-27 Hitachi Ltd ドライエツチング方法
GB2121198A (en) * 1982-05-26 1983-12-14 Philips Electronic Associated Plasma-etch resistant mask formation
GB2121197A (en) * 1982-05-26 1983-12-14 Philips Electronic Associated Plasma-etch resistant mask formation
US5365515A (en) * 1991-07-17 1994-11-15 Tut Systems, Inc. Network monitor and test apparatus
JP3334911B2 (ja) * 1992-07-31 2002-10-15 キヤノン株式会社 パターン形成方法
JPH06204187A (ja) * 1993-01-06 1994-07-22 Toshiba Corp エッチング方法
US6007732A (en) * 1993-03-26 1999-12-28 Fujitsu Limited Reduction of reflection by amorphous carbon
KR100295385B1 (ko) * 1993-04-09 2001-09-17 기타지마 요시토시 하프톤위상쉬프트포토마스크,하프톤위상쉬프트포토마스크용블랭크스및이들의제조방법
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
JP2658966B2 (ja) * 1995-04-20 1997-09-30 日本電気株式会社 フォトマスク及びその製造方法
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
JPH0915416A (ja) * 1995-06-30 1997-01-17 Sumitomo Chem Co Ltd 低反射ブラックマスクを有する液晶表示素子用カラーフィルター
US6693310B1 (en) * 1995-07-19 2004-02-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US5773199A (en) * 1996-09-09 1998-06-30 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
JPH11184067A (ja) * 1997-12-19 1999-07-09 Hoya Corp 位相シフトマスク及び位相シフトマスクブランク
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6919168B2 (en) * 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6037265A (en) * 1998-02-12 2000-03-14 Applied Materials, Inc. Etchant gas and a method for etching transistor gates
US5994235A (en) * 1998-06-24 1999-11-30 Lam Research Corporation Methods for etching an aluminum-containing layer
JP2000114246A (ja) * 1998-08-07 2000-04-21 Ulvac Seimaku Kk ドライエッチング方法および装置、フォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
US6114250A (en) * 1998-08-17 2000-09-05 Lam Research Corporation Techniques for etching a low capacitance dielectric layer on a substrate
US6251217B1 (en) * 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
US6727047B2 (en) * 1999-04-16 2004-04-27 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
KR100307629B1 (ko) * 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6280646B1 (en) * 1999-07-16 2001-08-28 Micron Technology, Inc. Use of a chemically active reticle carrier for photomask etching
JP4700160B2 (ja) * 2000-03-13 2011-06-15 株式会社半導体エネルギー研究所 半導体装置
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
EP1290495A2 (en) * 2000-06-15 2003-03-12 Applied Materials, Inc. A method and apparatus for etching metal layers on substrates
KR20020009410A (ko) * 2000-07-25 2002-02-01 포만 제프리 엘 3원 리소그래픽 att-PSM 포토마스크 및 그 제조 방법
JP2002351046A (ja) * 2001-05-24 2002-12-04 Nec Corp 位相シフトマスクおよびその設計方法
US20030003374A1 (en) * 2001-06-15 2003-01-02 Applied Materials, Inc. Etch process for photolithographic reticle manufacturing with improved etch bias
WO2003021659A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
TW567394B (en) * 2001-10-22 2003-12-21 Unaxis Usa Inc Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
US6919147B2 (en) * 2002-09-25 2005-07-19 Infineon Technologies Ag Production method for a halftone phase mask
US6720132B2 (en) * 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
DE10307518B4 (de) * 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
DE10208448A1 (de) * 2002-02-27 2003-09-11 Infineon Technologies Ag Lithografieverfahren zur Verringerung des lateralen Chromstrukturverlustes bei der Fotomaskenherstellung unter Verwendung chemisch verstärkter Resists
WO2003089990A2 (en) * 2002-04-19 2003-10-30 Applied Materials, Inc. Process for etching photomasks
US20040072081A1 (en) * 2002-05-14 2004-04-15 Coleman Thomas P. Methods for etching photolithographic reticles
US20040086787A1 (en) * 2002-11-05 2004-05-06 Waheed Nabila Lehachi Alternating aperture phase shift photomask having plasma etched isotropic quartz features
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US20040132311A1 (en) * 2003-01-06 2004-07-08 Applied Materials, Inc. Method of etching high-K dielectric materials
US7314690B2 (en) * 2003-04-09 2008-01-01 Hoya Corporation Photomask producing method and photomask blank
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
KR100506938B1 (ko) * 2003-07-04 2005-08-05 삼성전자주식회사 2차원적으로 반복하는 포토레지스트 패턴을 형성하기 위한포토마스크 및 그것을 제조하는 방법
TWI223350B (en) * 2003-07-17 2004-11-01 Semiconductor Mfg Int Shanghai A new method of mask chrome film etching process by employing electrolysis technique
TWI248115B (en) * 2004-06-09 2006-01-21 Nanya Technology Corp Semiconductor device with multi-layer hard mask and method for contact etching thereof
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication

Similar Documents

Publication Publication Date Title
JP2008116949A5 (ja)
JP5265174B2 (ja) エッチングリアクタを用いたナノ−インプリントテンプレートのエッチング
JP2016525788A5 (ja)
JP5484666B2 (ja) マスクエッチングプロセス
TWI554848B (zh) 為半導體裝置形成薄膜圖案的方法及其設備
KR102482649B1 (ko) 극자외선 리소그라피용 펠리클의 제조방법
TW200712757A (en) Method for plasma etching a chromium layer through a carbon hardmask suitable for photomask fabrication
TW200409224A (en) Pattern forming method
TWI432886B (zh) 具有自罩層之光罩與其蝕刻方法
TW200823994A (en) Method of etching extreme ultraviolet light(EUV) photomasks
TW201525606A (zh) 空白光罩之製造方法
TWI534857B (zh) Method of Pattern Repair on Silicon Substrate
JP3894747B2 (ja) 非クロロフルオロカーボンであるフッ素化学物質を用いて異方性プラズマエッチングを行う方法
WO2003089990A3 (en) Process for etching photomasks
JP2012063699A (ja) 透過型フォトマスクの製造方法
JP2009116949A (ja) パターンドメディア型の磁気記録媒体における凹凸パターンの形成方法
JP2021034483A5 (ja)
JP4517791B2 (ja) 窒化シリコン膜を用いたパターン形成方法
JP3260044B2 (ja) ドライエッチング方法
TW201842230A (zh) 蝕刻液組成物及蝕刻方法
TWI819443B (zh) 金屬氧化物之圖案形成方法及半導體元件之製造方法
KR20110016732A (ko) 블랭크 마스크 및 포토마스크의 제조방법
TW202217439A (zh) 光罩之製造方法及光罩底板
TW202217954A (zh) 電漿蝕刻方法及半導體元件之製造方法
KR20220046216A (ko) 극자외선 리소그라피용 펠리클 및 그 제조방법