TWI432886B - 具有自罩層之光罩與其蝕刻方法 - Google Patents

具有自罩層之光罩與其蝕刻方法 Download PDF

Info

Publication number
TWI432886B
TWI432886B TW96133112A TW96133112A TWI432886B TW I432886 B TWI432886 B TW I432886B TW 96133112 A TW96133112 A TW 96133112A TW 96133112 A TW96133112 A TW 96133112A TW I432886 B TWI432886 B TW I432886B
Authority
TW
Taiwan
Prior art keywords
layer
self
based material
bulk
etching
Prior art date
Application number
TW96133112A
Other languages
English (en)
Other versions
TW200823599A (en
Inventor
Banqiu Wu
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200823599A publication Critical patent/TW200823599A/zh
Application granted granted Critical
Publication of TWI432886B publication Critical patent/TWI432886B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31551Of polyamidoester [polyurethane, polyisocyanate, polycarbamate, etc.]
    • Y10T428/31616Next to polyester [e.g., alkyd]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

具有自罩層之光罩與其蝕刻方法
本發明的實施方式主要關於在半導體元件製造中使用的光罩幕,更具體地,關於具有自罩層的光罩幕及其蝕刻方法。
積體電路(IC)、或晶片製造中,代表晶片的不同層的圖案由晶片設計者創造。一系列可重復使用的光罩幕(在此也引用為罩幕)由這些圖案形成從而在製造過程期間將每個晶片層的設計轉移到半導體基材上。罩幕圖案生成系統使用精確的鐳射或電子束以使晶片的每層的設計成像在各個罩幕上。隨後,罩幕類似於照相底片使用以將每層的電路圖案轉移至半導體基材上。這些層使用一系列的製程形成並轉移至小型電晶體和由每個完整晶片組成的電路中。因此,罩幕中的任何缺陷可轉移至晶片,潛在地不利影響性能。十分嚴重的缺陷可導致罩幕完全失效。典型地,一組15到30個罩幕用於構造晶片並可重復使用。
罩幕通常包括具有沉積在其上的不透明的、吸光層的透明基材。傳統地,罩幕典型地為,在一側上具有鉻層的玻璃或石英基材。鉻層用抗反射塗層和光敏光阻劑覆蓋。在圖案化製程期間,通過將部分光阻劑曝光於電子束或紫外光,使所曝光部分在顯影液中溶解,電路設計印在罩幕上。隨後,去除光阻劑的可溶部分,允許蝕刻所暴光的下層鉻。蝕刻製程從光阻劑去除的位置處的罩幕去除鉻層和抗反射層,即,去除所暴光的鉻。
罩幕製造的關鍵挑戰包括蝕刻臨近尺寸(critical dimension,CD)偏差控制、蝕刻CD均勻性、截面形貌、蝕刻CD線性、蝕刻選擇性和缺陷控制。然而,隨著罩幕的臨近尺寸的縮小(對應於IC中形成的電晶體和電路的不斷縮小的尺寸),現有的光微影技術正接近其技術極限。例如,對於目前的光學二元罩幕,用於65nm型製程下的傳統罩幕的蝕刻CD偏差為在目前製程水平的罩幕蝕刻機上約15-20nm。這種蝕刻偏差問題主要來源於光阻劑的腐蝕。在圖案轉移期間,光阻劑大量消耗,原因在於吸收層對光阻劑的有限的蝕刻速率選擇性。這種光阻劑的消耗降低圖案轉移製程的保真度。
因此,需要一種改善的罩幕和罩幕製造方法。
在此提供了一種光罩幕結構和蝕刻方法。在一個實施方式中,一光罩幕包括一透明基材和設置在該基材上的一不透明多層吸收層。不透明多層吸收層包括設置在一體吸收層之上的一自罩層。自罩層包括氮化鉭和矽基材料(TaSiON)、鉭硼氧化物基材料(TaBO)、或氧化和氮化鉭基材料(TaON)的其中之一。體吸收層包括鉭矽化物基材料(TaSi)、氮化鉭硼化物基材料(TaBN)、或鉭氮化物基材料(TaN)的其中之一。在體吸收層蝕刻步驟期間,自罩層具有低蝕刻速率,從而用作硬罩幕。
在本發明的另一技術方案中,一種蝕刻一光罩幕的方法包括:提供一光罩幕,該光罩幕包括一透明基材,該透明基材具有設置在其上的一多層吸收層,多層吸收層包括設置在一體吸收層上的一自罩層,其中自罩層包括鉭和氧,以及體吸收層包括鉭且不含氧;使用第一蝕刻製程蝕刻自罩層;以及使用不同於第一蝕刻製程的第二蝕刻製程蝕刻體吸收層。
在本發明的另一實施方式中,一種用於在一底版光罩幕上產生圖像的方法,該底版光罩幕包括一光阻劑層、在光阻劑層之下具有抗反射子層和體子層的一不透明層、以及在不透明層之下的一基本透明基材層,該方法包括:在光阻劑層中產生一圖案化的圖像;去除不對應於圖案化圖像的光阻劑層部分,從而暴露不對應於圖案化圖像的不透明層的抗反射子層部分;使用第一蝕刻製程去除不對應於圖案化圖像的抗反射子層的暴露部分,從而暴露不對應於圖案化圖像的體子層的部分;使用具有體子層去除速率至少為10倍抗反射子層去除速率的第二蝕刻製程來去除不對應於圖案化圖像的抗反射子層之下的體子層的暴露部分,從而暴露不對應於圖案化圖像的基本透明層部分;以及去除光阻劑層。
本發明提供一種光罩幕結構和蝕刻的方法,其可用於光學二元光罩幕、嵌入式衰減式相移罩幕(embedded attenuated phaseshift mask,EAPSM),和交替孔相移罩幕(alternate aperture phase shift mask,AAPSM)應用以與傳統罩幕相比減小蝕刻CD偏差並改善圖案轉移保真性。
第1圖示出了本發明的底版光罩幕或罩幕100的一個實施方式。如第1圖所示,罩幕100包括具有多層吸收層104的光學透明基材102。透明基材102可典型地包括光學透明的矽基材料,諸如石英(例如,二氧化矽,SiO2 )等。基材102可為適合用作光罩幕的任意尺寸。在一個實施方式中,基材102具有約5-9英吋之間的邊長的矩形形狀。基材102可為約0.15-0.25英吋厚。在一個實施方式中,基材102為約0.25英吋厚。
多層吸收層104為不透明、遮光層並可為約40-110奈米(nm)厚。多層吸收層104包括體吸收層106(也稱為體子層)和自罩層108(也稱為抗反射子層)。體吸收層106可為多層吸收層104的厚度的約80-85%之間(即,在約30-90nm厚)。體吸收層106可包括基本不含氧的鉭基材料,諸如鉭矽化物基材料(在下文中稱為TaSi),氮化鉭硼化物基材料(在下文中稱為TaBN),以及鉭氮化物基材料(在下文中稱為TaN)。
自罩層108可為多層罩幕層104的厚度的約15-20%之間(即,在約10-30nm之間厚)。自罩層108的成分一般包括鉭基和氧基材料。當體吸收層106包括TaSi時,自罩層108的成分對應於體吸收層106的成分並可包括氧化和氮化鉭及矽基材料(在下文中稱為TaSiON);當體吸收層106包括TaBN時,可包括鉭硼氧化物基材料(在下文中稱為TaBO);當體吸收層106包括TaN時,可包括氧化和氮化鉭基材料(在下文中稱為TaON)。
在罩幕100的蝕刻期間,體吸收層106和自罩層108的成分之間的關係有利地提供減少的缺陷形成。例如,第一蝕刻製程可用於蝕刻自罩層108(如在以下更詳細描述),以及第二蝕刻製程可隨後用於蝕刻貫穿體吸收層106,同時保持體吸收層106對自罩層108的高蝕刻選擇性,因此使自罩層108用作硬罩幕,即“自罩”,從而允許使用更薄的光阻劑層。所述材料的組合和多步驟蝕刻製程比使用傳統的“軟”光阻劑材料有利地提供較低的蝕刻CD偏差和更好的CD均勻性。
以下參照第2-3圖描述用於從底版罩幕100建立具有改善的臨界尺寸和均勻性的完成罩幕的製程。第2A-2C圖示出了使用本發明方法的一個實施方式蝕刻罩幕100的製造次序的一個實施方式。第3圖示出了用於蝕刻第1圖的罩幕的方法300的一個實施方式的流程圖,並參照第2A-2C圖描述。方法300可在從美國加州,Santa Clara的應用材料公司購買得到的TETRATM I、TETRATM II、或DPSII蝕刻腔室中,或其他適合蝕刻腔室中執行,如以下參照第4圖所述。方法300可以電腦可讀形式存儲在控制器的記憶體中或腔室的其他存儲媒介中。
方法300在步驟302開始,其中光阻劑層202沉積在自罩層108的上並圖案化以形成對應於設計待轉移至罩幕100的開口204(如第2A圖所示),從而暴露自罩層108的對應部分。光阻劑層202包括任意適合的光敏光阻劑材料並可以任意適合方式沉積和圖案化。光阻劑層202可沉積至約100-1000nm之間的厚度。
接下來,在步驟304,使用光阻劑層202作為罩幕在第一蝕刻製程中蝕刻自罩層108,從而將開口204的圖案轉移至自罩層108(如第2B圖所示),並因此暴露體吸收層106的對應部分。在自罩層108包括TaSiON的實施方式中,在第一蝕刻製程期間自罩層108對光阻劑的蝕刻選擇性為約3.8,以及自罩層108對體吸收層106的選擇性大於8。
通過將層(通過開口204)暴露於包括含氟氣體、四氯化碳(CCl4 )、或氯化氫(HC1)的至少其中之一的來自第一製程氣體(或氣體混合物)的物種,第一蝕刻製程蝕刻自罩層108。適合的含氟氣體的實施例包括四氟化碳(CF4 )、六氟化碳(C2 F6 )、六氟化硫(SF6 )、三氟甲烷(CHF3 )等。在一個實施方式中,以約10-100標準立方釐米每分鐘(sccm)之間的速率提供CF4 。可選地,可以約50-200sccm之間流速提供諸如氦(He)或氬(Ar)的載氣。一個具體的製程配方以約50sccm速率提供伴隨約100sccm流速的載氣的CF4 。製程腔室的壓力控制在低於約40毫托,以及在一個實施方式中,在約1和約10毫托之間,例如2毫托。
電漿由第一製程氣體形成,例如,通過將來自電漿功率源的約300到約600W之間的RF功率施加在製程腔室的天線上,如下文所述。一般認為電漿可利用其他方法激發。在一個實施方式中,約420W的RF功率在約13.56MHz的頻率施加。
可選地,施加基材偏壓功率以偏壓罩幕100。偏壓功率可低於約600W,或在第一實施例中,低於約100W,或在第二實施例中,在約20到約150W之間。一個具體的製程配方施加約25W的偏壓功率。偏壓功率可進一步為在約1-20MHz之間的頻率,或在一個實施方式中,在13.56MHz頻率下提供的RF信號。
可以可選地脈衝偏壓功率。偏壓功率可以約10-95%之間,或在一個實施方式中,約20-95%之間的工作週期(duty cycle)脈衝。在一個實施方式中,偏壓源140設計為在約1到約10kHz之間的脈衝頻率下提供低於600W的RF功率,並具有約10到約95%之間的工作週期。在另一實施方式中,偏壓源140設計為在約2到約5kHz之間的脈衝頻率下提供約20到約150W之間的RF功率,並具有約20到約95%之間的工作週期。
在處理期間,陰極溫度可維持在約15-30℃之間的溫度以及腔室壁的溫度可維持在約50-80℃之間的溫度。在一個實施方式中,陰極溫度可維持在約20℃的溫度以及腔室壁的可維持在約65℃的溫度。
接下來,在步驟306,體吸收層106在第二蝕刻製程中使用自罩層108和剩餘的光阻劑層202作為罩幕進行蝕刻,從而將開口204的圖案轉移到體吸收層106上(如第2C圖所示),並因此暴露基材102的相應部分。可選地,在實施步驟306之前,可去除或剝離剩餘的光阻劑層202。第二蝕刻製程有利地具有體吸收層106對自罩層108的高選擇性,從而允許自罩層108用作將圖案(例如,開口204)轉移至體吸收層106的硬罩幕。第二蝕刻製程保持體吸收層對自罩層的至少為10的選擇性。在一個實施方式中,其中體吸收層106包括TaSi以及第二製程氣體包括Cl2 ,體吸收層106對光阻劑的蝕刻選擇性為約3.8以及體吸收層106對自罩層108的蝕刻選擇性為約15。
通過將層(通過開口204)暴露於來自包括至少一種含氯氣體的第二製程氣體(或氣體混合物)的物種中,第二蝕刻製程蝕刻體吸收層106。適合的含氯氣體的實施例包括氯氣(Cl2 )、四氯化碳CCl4 、HCl等。
在一個實施方式中,以約10-200標準立方釐米每分鐘(sccm)之間的速率提供第二製程氣體。可選地,可以約50-200sccm之間的流速提供諸如氦(He)或氬(Ar)的載氣。一個具體的製程配方以約100sccm速率提供製程氣體,伴隨約100sccm流速的載氣。製程腔室的壓力控制至低於約40毫托,以及在一個實施方式中,在約1和約10毫托之間,例如6毫托。
電漿由第二製程氣體形成,例如,通過將來自電漿功率源的約300到約600W之間的RF功率施加在製程腔室的天線上,如下文所述。一般認為電漿可利用其他方法激發。在一個實施方式中,約420W的RF功率在約13.56MHz的頻率施加。
可選地,施加基材偏壓功率以偏壓罩幕100。偏壓功率可低於約600W,或在第一實施例中,低於約100W,或在第二實施例中,在約20到約150W之間。一個具體的製程配方施加約20W的偏壓功率。偏壓功率可進一步為在約1-20MHz之間的頻率,或在一個實施方式中,在13.56MHz頻率下提供的RF信號。
可以可選地脈衝偏壓功率。可以約10-95%之間,或在一個實施方式中,約20-95%之間的工作週期脈衝偏壓功率。在一個實施方式中,偏壓源140設計為在約1到約10kHz之間的頻率下提供低於600W的RF功率,並具有約10到約95%之間的工作週期。在另一實施方式中,偏壓源140設計為在約2到約5kHz之間的脈衝頻率下提供約20到約150W之間的RF功率,並具有約20到約95%之間的工作週期。
在處理期間,陰極溫度可維持在約15-30℃之間的溫度以及腔室壁的溫度可維持在約50-80℃之間的溫度。在一個實施方式中,陰極溫度可維持在約20℃的溫度以及腔室壁的可維持在約65℃的溫度。
在步驟306完成時,方法300結束以及罩幕100現具有轉移至其上的預期圖案。在替代的實施方式中,諸如在EAPSM或AAPSM應用中,額外的處理可繼續完成本領域中一般公知的罩幕。例如,在EAPSM罩幕中,可使用包含SF6 或CF4 的氣體蝕刻多材料層(諸如含鉬和含矽層(有時稱為MoSi層))以完成罩幕。可選地,在AAPSM罩幕中,可使用包含SF6 或CF4 的氣體蝕刻石英基材以完成罩幕。
方法300與傳統的蝕刻方法相比有利地提供具有改善CD和均勻性的罩幕100。例如,在步驟306期間,當使用第二蝕刻製程進行蝕刻體吸收層106時,可在開口204的拐角上去除剩餘的光阻劑202,從而將部分自罩層108暴露於氯電漿中。然而,由於在氯電漿中體吸收層106對自罩層108的高選擇性,因此即使光阻劑層202的CD可能變化,CD也將不會顯著縮小。因此,最終CD主要由步驟304期間的自罩層108蝕刻確定,由於自罩層108的較小的厚度,因此自罩層108蝕刻將不會明顯導致CD蝕刻偏差。另外,由於局部蝕刻CD偏差致使蝕刻CD均勻性,因此低CD偏差將進一步有益於CD均勻性控制。方法300可有利地用於提供具有減小的CD偏差,例如,從約0-10nm(即小於10nm)的罩幕。
在此提供的新罩幕結構和蝕刻方法有利地提供對蝕刻CD偏差和均勻性更好的控制。該罩幕結構和方法使用傳統的材料和蝕刻製程,即,在沒有技術困難下,提供具有改善的蝕刻CD均勻性控制的“零蝕刻偏差”。目前控制CD平均值到目標值(mean-to-target,MTT)的策略主要使用資料排列,其通常需要幾個小時(有時甚至超過20小時)。該新罩幕結構和蝕刻方法進一步有利地消除了資料排列的需要,從而與傳統罩幕相比,提供更短的輸出時間、更高的產量和更低的生產成本。
第4圖示出了可實施本發明的方法的蝕刻反應器400的一個實施方式的示意圖。可適於與在此揭示的教導使用的適合的反應器包括,例如,去耦合電漿源(DPS)II反應器,或TETRATM I和TETRATM II光罩幕蝕刻系統,所有這些可從加州的Santa Clara的應用材料公司購買可得。DPSII反應器還可用作CENTURA集成半導體晶圓處理系統的處理模組,也可從應用材料公司購買得到。在此所示的反應器400的特定的實施方式為示意性目的提供並不應當用於限定本發明的範圍。
反應器400一般包括具有在導電體(壁)404內的基材基座424的製程腔室402,和控制器446。腔室402具有基本平的介電質頂408。腔室402的其他變型可具有其他類型的頂,例如,圓形頂。天線410設置在頂408之上。天線410包括可選擇性控制的一個或多個感應線圈元件(兩個同軸元件410a和410b在第4圖中示出)。天線410經過第一匹配網路414耦合至電漿功率源412。電漿功率源412典型地能在從約50kHz到約13.56MHz範圍內的可調頻率下產生達約3000瓦(W)的功率。在一個實施方式中,電漿功率源412提供約300到600W的感應耦合RF功率。
基材基座(陰極)424經過第二匹配網路442耦合至偏壓功率源440。偏壓功率源440在約1到約10kHz範圍內的可調脈衝頻率下提供約0到約600W之間的功率。配置源440產生脈衝式RF功率輸出。可選地,偏壓功率源440可產生脈衝式DC功率輸出。一般認為偏壓功率源440還可提供恒定的功率輸出。
在一個實施方式中,偏壓功率源440設計為在約1到10kHz之間的脈衝頻率下提供小於約600W的RF功率,並具有約10到約95%之間的工作週期。在另一實施方式中,偏壓功率源440設計為在約2到約5kHz的脈衝頻率下提供約20到約150W之間的RF功率,並具有約80到約95%之間的工作週期。
在一個實施方式中,如在DPS反應器中,基材支撐基座424可包括靜電夾盤460。靜電夾盤460包括至少一個夾緊電極432並由夾盤電源466控制。在替代的實施方式中,基材基座424可包括基材固定裝置,諸如基座夾環、機械夾盤等。
氣體儀錶盤420連接至處理腔室402以將製程氣體和/或其他氣體提供到製程腔室402的內部。在第4圖所示的實施方式中,氣體儀錶盤420連接至在腔室400的側壁404中的通道418中形成的一個或多個進氣口416。一般認為一個或多個進氣口416可設置在其他位置,例如,在製程腔室402的頂408中。
在一個實施方式中,氣體儀錶盤420適於在處理期間選擇性提供一種或多種氣體經過進氣口416並進入到處理腔室402的內部。例如,在一個實施方式中,氣體儀錶盤420可適於選擇性提供含氟和/或含氯製程氣體(或多種氣體)進入製程腔室402的內部,如以下結合蝕刻罩幕的方法所述。在處理期間,電漿由氣體形成並通過來自電漿功率源412的功率的感應耦合維持。電漿可以可選地利用其他方法遠端形成或激發。
腔室402中的壓力利用節流閥462和真空泵464控制。真空泵464和節流閥462能維持腔室壓力在約1到約20mT的範圍內。
壁404的溫度使用流經壁404的含液體管道(未示出)而控制。壁溫度通常維持在約65℃。典型地,腔室壁404由金屬(例如,鋁、不銹鋼等)形成並耦合至電性接地406。製程腔室402還包括用於製程控制、內部診斷、終點檢測等的傳統系統。所述系統一起示為支援系統454。
罩幕版(reticle)適配器482可用於將基材(諸如罩幕版或其他工件)422固定在基材支撐基座424上。基材422可為包括光學透明基材490和沉積在其上的多層吸收層492的底版光罩幕(類似於參照第1圖所述的包括具有多層吸收層104的光學透明基材102的罩幕100)。罩幕版適配器482一般包括底部484,其中壓延該底部以覆蓋基座424的上表面(例如,靜電夾盤460),和具有形成的大小和形狀以容納基材422的開口488的頂部486。開口488一般基本上參照基座424居中。適配器482通常由單件耐蝕刻腐蝕、耐高溫材料諸如聚合物陶瓷或石英形成。在2001年6月26日獲頒的美國專利No.6,251,217中揭示了適合的罩幕版適配器,並在此引入其全部內容作為參考。邊緣環426可覆蓋和/或固定適配器482於基座424上。
升降裝置438用於下降或提升適配器482,並因此下降或提升基材422,以放置於或離開基材支撐基座424。一般地,升降裝置438包括經由各個導引孔436的多個升降杆(一個升降杆430示出)。
在操作中,基材422的溫度通過穩定基材基座424的溫度而控制。在一個實施方式中,基材支撐基座424包括加熱器444和可選的散熱器428。加熱器444可為設計以流動貫穿其的傳熱流體的一個或多個流體管道。在另一實施方式中,加熱器444可包括由加熱器電源468調節的至少一個加熱元件434。可選地,來自氣源456的背側氣體(例如,氦(He))經由氣體管道458提供至在基材422下的基座表面中形成的管道。背側氣體用於促進基座424和基材422之間的熱傳遞。在處理期間,可利用嵌入式加熱器444加熱基座424至穩定態溫度,其結合氦背側氣體,有助於基材422的均勻加熱。
可選地,離子基護板427可設置在腔室主體402中的基座424上方。離子基護板427與腔室壁404和基座424電性隔離並一般包括具有多個孔429的基本平的板431。在第4圖所示的實施方式中,護板427由多個腳425支撐在腔室402中的基座上方。孔429限定護板427的表面中所需的開口面積,其控制從製程腔室402的上製程容積478形成的電漿到達位於離子基護板427和基材422之間的下製程容積480的離子數量。開口面積越大,則越多的離子可穿過離子基護板427。同樣地,孔429的大小和分佈,以及板431的厚度控制容積480內的離子密度。因此,護板427為離子篩檢程式。在2004年6月30日申請的題目為“METHOD AND APPRATUS FOR PHOTOMASK PLASMA ETCHING”的美國專利申請序列號No.10/882,084中,Kumar等人描述了可適於受益於本發明的適合的護板的一個實施例,在此引入其全部內容作為參考。
控制器446包括中央處理器(CPU)450、記憶體448和用於CPU 450的支援電路452並輔助製程腔室402的部件的控制,同樣地,輔助蝕刻製程的控制,如上所述。控制器446可為能在工業設置中使用的任一形式的通用電腦處理器,其用於控制各種腔室和子處理器。控制器446的記憶體448可為一種或多種易於得到的記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任意其他形式的數位記憶體、本地或遠端的。支援電路452耦合至CPU 450用於以傳統方式支援處理器。這些電路包括緩衝器、電源、時鐘電路、輸入/輸出電路和子系統等。本發明方法作為軟體程式一般存儲在記憶體448中或其他CPU 450可訪問的電腦可讀媒介中。可選地,所述軟體程式還可利用第二CPU(未示出)存儲和/或執行,該第二CPU遠離CPU 450控制的硬體設置。
因此,在此提供了一種用於蝕刻的罩幕結構和方法,其比傳統的製程有利地改善CD偏差和均勻性特點。具體地,在此揭示的罩幕和蝕刻方法與傳統方法相比具有更低的CD偏差和更好的均勻性。罩幕和蝕刻方法可有利地用在光學二元光罩幕、嵌入式衰減式相移罩幕(EAPSM),和交替孔相移罩幕(AAPSM)應用中,與傳統罩幕相比以減小蝕刻CD偏差並改善圖案轉移保真性。
雖然前述涉及本發明的實施方式,但在不偏離本發明的基本範圍內可設計本發明的其他和進一步的實施方式,並且其範圍由以下的申請專利範圍確定。
100...罩幕
102...基材
104...多層吸收層
106...體吸收層
108...自罩層
202...光阻劑層
204...開口
300...方法
302...沉積且圖案化光阻劑
304...使用第一蝕刻製程來蝕刻自罩層
306...使用第二蝕刻製程來蝕刻體吸收層
400...蝕刻反應器
402...製程腔室
404...壁
406...電性接地
408...頂
410...天線
410a、410b...元件
412...電漿功率源
414...第一匹配網路
416...進氣口
418...通道
420...氣體儀錶盤
422...基材
424...基材基座
425...腳
426...邊緣環
427...離子基護板
428...散熱器
429...孔
430...升降杆
431...板
432...夾緊電極
434...加熱元件
436...導引孔
438...升降裝置
440...偏壓功率源
442...第二匹配網路
444...加熱器
446...控制器
448...記憶體
450...中央處理器(CPU)
452...支援電路
454...支援系統
456...氣源
458...氣體管道
460...靜電夾盤
462...閥
464...真空泵
466...夾盤電源
468...加熱器電源
478...上製程容積
480...下製程容積
482...罩幕版適配器
484...底部
486...頂部
488...開口
490...光學透明基材
492...多層吸收層
因此為了使本發明的以上所述特徵可詳細理解,可參照附圖中示出的實施方式對以上的簡要所述的本發明進行更加詳細的描述。然而,應當注意,附圖僅示出本發明的典型實施方式並因此不應認為是其範圍的限定,本發明可允許其他等同的有效實施方式。
第1圖示出了根據本發明的一個實施方式的罩幕底版;第2A-2C圖示出了使用本發明的方法的一個實施方式用於罩幕的製造次序的一個實施方式;第3圖示出了用於蝕刻第1圖的罩幕的方法的一個實施方式的流程圖;第4圖為適合用於罩幕蝕刻的蝕刻反應器的示意圖。
為了便於理解,盡可能使用相同的參考標記表示附圖中共同的相同元件。附圖中的圖為示意性目的為簡化的並不是按比例繪製。
300...方法
302...沉積且圖案化光阻劑
304...使用第一蝕刻製程來蝕刻自罩層
306...使用第二蝕刻製程來蝕刻體吸收層

Claims (22)

  1. 一種光罩幕,包括:一透明基材;以及一不透明多層吸收層,設置在所述基材之上並包括設置在一體吸收層之上的一自罩層,所述自罩層包括氧化和氮化鉭及矽基材料(TaSiON)、鉭硼氧化物基材料(TaBO)、或氧化和氮化鉭基材料(TaON)的其中之一,其中所述體吸收層為所述多層吸收層的厚度的80-85%之間。
  2. 如申請專利範圍第1項所述的光罩幕,其中所述體吸收層包括鉭矽化物基材料(TaSi)、氮化鉭硼化物基材料(TaBN)、或鉭氮化物基材料(TaN)的其中之一。
  3. 如申請專利範圍第1項所述的光罩幕,其中所述自罩層為所述多層吸收層的厚度的15-20%之間。
  4. 如申請專利範圍第1項所述的光罩幕,其中所述光罩幕的厚度為0.15-0.25英吋之間。
  5. 如申請專利範圍第1項所述的光罩幕,其中所述不透明多層吸收層的厚度為40-100nm之間。
  6. 如申請專利範圍第1-5項中任一項所述的光罩幕,其中所述自罩層包括氧化和氮化鉭和矽基材料 (TaSiON),以及所述體吸收層包括鉭矽化物基材料(TaSi)。
  7. 如申請專利範圍第1-5項中任一項所述的光罩幕,其中所述自罩層包括鉭硼氧化物基材料(TaBO),以及所述體吸收層包括氮化鉭硼化物基材料(TaBN)。
  8. 如申請專利範圍第1-5項中任一項所述的光罩幕,其中所述自罩層包括氧化和氮化鉭基材料(TaON),以及所述體吸收層包括鉭氮化物基材料(TaN)。
  9. 如申請專利範圍第1項所述的光罩幕,其中所述基材包括石英。
  10. 一種蝕刻一光罩幕的方法,包括:提供包括一透明基材的一光罩幕,該透明基材具有設置在其上的一多層吸收層,所述多層吸收層包括設置在一體吸收層上的一自罩層,其中所述自罩層包括鉭和氧,以及所述體吸收層包括鉭並基本不含氧;使用一第一蝕刻製程蝕刻所述自罩層;以及使用不同於所述第一蝕刻製程的一第二蝕刻製程蝕刻所述體吸收層,其中在所述第二蝕刻製程期間所述體吸收層的蝕刻速率大於所述自罩層的蝕刻速率。
  11. 如申請專利範圍第10項所述的方法,其中所述光罩幕為一底版光罩幕,該底版光罩幕進一步包括設置在所述多層吸收層之上的一光阻劑層,以及其中所述自罩層為一抗反射子層並且所述體吸收層為一體子層,以及所述方法進一步包括:在所述光阻劑層中產生一圖案化圖像;去除不對應於所述圖案化圖像的所述光阻劑層的部分,從而暴露與所述圖案化圖像不對應的所述不透明層的所述抗反射子層的部分;其中蝕刻所述自罩層進一步包括使用所述第一蝕刻製程去除與所述圖案化圖像不對應的所述抗反射子層的所暴露部分,從而暴露與所述圖案化圖像不對應的所述體子層的部分;其中蝕刻所述體吸收層進一步包括使用所述第二蝕刻製程去除與所述圖案化圖像不對應的所述抗反射子層之下的所述體子層的所暴露部分,所述第二蝕刻製程具有為抗反射子層去除速率的至少10倍的體子層去除速率,從而暴露不對應於圖案化圖像的基本透明層的部分;以及去除所述光阻劑層。
  12. 如申請專利範圍第10-11項中任一項所述的方法,其中所述自罩層包括氧化和氮化鉭和矽基材料(TaSiON)、鉭硼氧化物基材料(TaBO)、或氧化和氮化鉭基材料(TaON)的其中之一。
  13. 如申請專利範圍第10-11項中任一項所述的方法,其中所述體吸收層包括鉭矽化物基材料(TaSi)、氮化鉭硼化物基材料(TaBN)、或鉭氮化物基材料(TaN)的其中之一。
  14. 如申請專利範圍第10-11項中任一項所述的方法,其中所述自罩層包括氧化和氮化鉭和矽基材料(TaSiON),以及所述體吸收層包括鉭矽化物基材料(TaSi)。
  15. 如申請專利範圍第10-11項中任一項所述的方法,其中所述自罩層包括鉭硼氧化物基材料(TaBO),以及所述體吸收層包括氮化鉭硼化物基材料(TaBN)。
  16. 如申請專利範圍第10-11項中任一項所述的方法,其中所述自罩層包括氧化和氮化鉭基材料(TaON),以及所述體吸收層包括鉭氮化物基材料(TaN)。
  17. 如申請專利範圍第10-11項中任一項所述的方法,其中所述第一蝕刻製程包括:使用包括含氟氣體、四氯化碳(CCl4 )、或氯化氫(HCl)的至少其中之一的一製程氣體蝕刻所述自罩層。
  18. 如申請專利範圍第10-11項中任一項所述的方法,其中所述第一蝕刻製程包括:使用包括三氟甲烷(CHF3 )、四氟化碳(CF4 )、六氟化硫(SF6 )、六氟化碳(C2 F6 )、四氯化碳(CCl4 )、或氯化氫(HCl)的至少其中之一的一製程氣體蝕刻所述自罩層。
  19. 如申請專利範圍第10-11項中任一項所述的方法,其中所述第二蝕刻製程包括:使用至少一種含氯製程氣體蝕刻所述體吸收層。
  20. 如申請專利範圍第10-11項中任一項所述的方法,其中所述第二蝕刻製程包括:使用包括氯氣(Cl2 )、四氯化碳(CCl4 )、或氯化氫(HCl)的至少其中之一的一製程氣體蝕刻所述體吸收層。
  21. 如申請專利範圍第10項所述的方法,進一步包括:在蝕刻所述自罩層之前,在所述自罩層上沉積並圖案化一光阻劑層。
  22. 如申請專利範圍第10項所述的方法,其中所述第二蝕刻製程維持所述體吸收層對所述自罩層至少10的選擇性。
TW96133112A 2006-09-15 2007-09-05 具有自罩層之光罩與其蝕刻方法 TWI432886B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/532,259 US7771894B2 (en) 2006-09-15 2006-09-15 Photomask having self-masking layer and methods of etching same

Publications (2)

Publication Number Publication Date
TW200823599A TW200823599A (en) 2008-06-01
TWI432886B true TWI432886B (zh) 2014-04-01

Family

ID=38917389

Family Applications (1)

Application Number Title Priority Date Filing Date
TW96133112A TWI432886B (zh) 2006-09-15 2007-09-05 具有自罩層之光罩與其蝕刻方法

Country Status (6)

Country Link
US (1) US7771894B2 (zh)
EP (1) EP1901119A3 (zh)
JP (2) JP5844025B2 (zh)
KR (1) KR100925080B1 (zh)
CN (1) CN101144974B (zh)
TW (1) TWI432886B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4845978B2 (ja) * 2008-02-27 2011-12-28 Hoya株式会社 フォトマスクブランクおよびフォトマスク並びにフォトマスクの製造方法
JP5581293B2 (ja) * 2008-02-27 2014-08-27 Hoya株式会社 フォトマスクブランク及びその製造方法、並びにフォトマスク及びその製造方法
KR101020281B1 (ko) * 2008-06-20 2011-03-07 주식회사 하이닉스반도체 극자외선 리소그라피 마스크의 제조 방법
US8233248B1 (en) 2009-09-16 2012-07-31 Western Digital (Fremont), Llc Method and system for providing a magnetic recording transducer using a line hard mask
JP5434825B2 (ja) * 2010-07-09 2014-03-05 信越化学工業株式会社 ドライエッチング方法
US8871102B2 (en) 2011-05-25 2014-10-28 Western Digital (Fremont), Llc Method and system for fabricating a narrow line structure in a magnetic recording head
JP6125772B2 (ja) 2011-09-28 2017-05-10 Hoya株式会社 反射型マスクブランク、反射型マスクおよび反射型マスクの製造方法
US9034564B1 (en) 2013-07-26 2015-05-19 Western Digital (Fremont), Llc Reader fabrication method employing developable bottom anti-reflective coating
CN104516138B (zh) * 2013-09-29 2017-09-22 中芯国际集成电路制造(上海)有限公司 硅基液晶面板的制作方法
CN103738914B (zh) * 2014-01-09 2016-01-20 上海华虹宏力半导体制造有限公司 Mems器件的制造方法
WO2017038213A1 (ja) * 2015-08-31 2017-03-09 Hoya株式会社 マスクブランク、位相シフトマスクおよびその製造方法、並びに半導体デバイスの製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57161857A (en) * 1981-03-31 1982-10-05 Dainippon Printing Co Ltd Photomask blank plate
JPH0650387B2 (ja) * 1986-03-31 1994-06-29 アルバツク成膜株式会社 フオトマスクおよびその製造方法
JPH0650388B2 (ja) * 1986-04-04 1994-06-29 アルバツク成膜株式会社 フオトマスクおよびその製造方法
US5955222A (en) * 1996-12-03 1999-09-21 International Business Machines Corporation Method of making a rim-type phase-shift mask and mask manufactured thereby
US5939227A (en) * 1998-03-09 1999-08-17 Rochester Institute Of Technology Multi-layered attenuated phase shift mask and a method for making the mask
US6472107B1 (en) 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
KR100401503B1 (ko) * 2001-04-30 2003-10-17 주식회사 하이닉스반도체 반도체소자의 캐패시터 및 그 제조방법
DE10156366B4 (de) 2001-11-16 2007-01-11 Infineon Technologies Ag Reflexionsmaske und Verfahren zur Herstellung der Reflexionsmaske
JP2006011434A (ja) * 2002-03-29 2006-01-12 Hoya Corp マスクブランク用基板、マスクブランクおよび転写用マスクの製造方法
JP4212025B2 (ja) * 2002-07-04 2009-01-21 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに反射型マスクの製造方法
US20060060565A9 (en) * 2002-09-16 2006-03-23 Applied Materials, Inc. Method of etching metals with high selectivity to hafnium-based dielectric materials
US20050042523A1 (en) * 2003-08-20 2005-02-24 Banqiu Wu Endpoint detection of plasma-assisted etch process
US20060008749A1 (en) * 2004-07-08 2006-01-12 Frank Sobel Method for manufacturing of a mask blank for EUV photolithography and mask blank
US20060051681A1 (en) * 2004-09-08 2006-03-09 Phototronics, Inc. 15 Secor Road P.O. Box 5226 Brookfield, Conecticut Method of repairing a photomask having an internal etch stop layer
JP2006078825A (ja) * 2004-09-10 2006-03-23 Shin Etsu Chem Co Ltd フォトマスクブランクおよびフォトマスクならびにこれらの製造方法
JP2006085096A (ja) * 2004-09-17 2006-03-30 Fujitsu Ltd 露光用マスクとその製造方法

Also Published As

Publication number Publication date
US7771894B2 (en) 2010-08-10
TW200823599A (en) 2008-06-01
KR20080025292A (ko) 2008-03-20
EP1901119A3 (en) 2012-06-06
JP2014194564A (ja) 2014-10-09
CN101144974A (zh) 2008-03-19
KR100925080B1 (ko) 2009-11-04
EP1901119A2 (en) 2008-03-19
JP2008070883A (ja) 2008-03-27
CN101144974B (zh) 2011-03-30
JP5844025B2 (ja) 2016-01-13
US20080070127A1 (en) 2008-03-20

Similar Documents

Publication Publication Date Title
TWI432886B (zh) 具有自罩層之光罩與其蝕刻方法
TWI379354B (en) Method of etching extreme ultraviolet light(euv) photomasks
KR100822276B1 (ko) 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법
US20070072435A1 (en) Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
JP2008146029A (ja) エッチングリアクタを用いたナノ−インプリントテンプレートのエッチング
US20060154151A1 (en) Method for quartz photomask plasma etching
US10199224B2 (en) Method for improving CD micro-loading in photomask plasma etching
US8778574B2 (en) Method for etching EUV material layers utilized to form a photomask
US20130092655A1 (en) Method for etching an euv reflective multi-material layers utilized to form a photomask
JP5459945B2 (ja) 位相シフトフォトマスク及びその製造方法
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees