KR100925080B1 - 셀프-마스킹층을 갖는 포토마스크 및 그의 에칭 방법 - Google Patents

셀프-마스킹층을 갖는 포토마스크 및 그의 에칭 방법 Download PDF

Info

Publication number
KR100925080B1
KR100925080B1 KR1020070081858A KR20070081858A KR100925080B1 KR 100925080 B1 KR100925080 B1 KR 100925080B1 KR 1020070081858 A KR1020070081858 A KR 1020070081858A KR 20070081858 A KR20070081858 A KR 20070081858A KR 100925080 B1 KR100925080 B1 KR 100925080B1
Authority
KR
South Korea
Prior art keywords
layer
self
tantalum
based materials
bulk
Prior art date
Application number
KR1020070081858A
Other languages
English (en)
Other versions
KR20080025292A (ko
Inventor
반키우 유
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080025292A publication Critical patent/KR20080025292A/ko
Application granted granted Critical
Publication of KR100925080B1 publication Critical patent/KR100925080B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31551Of polyamidoester [polyurethane, polyisocyanate, polycarbamate, etc.]
    • Y10T428/31616Next to polyester [e.g., alkyd]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

포토마스크 구조 및 에칭 방법이 제공된다. 일 실시예에서, 포토마스크는 반투명 기판 및 상기 기판 위로 배치되는 불투명한 다층의 흡수층을 포함한다. 불투명한 다층의 흡수층은 벌크 흡수층 위에 배치되는 셀프-마스크층을 포함한다. 셀프 마스크층은 질소화 탄탈 및 실리콘-계 물질들(TaSiON), 탄탈 보론 산화물-계 물질들(TaBO), 또는 산화 및 질소화 탄탈-계 물질들(TaON)중 하나를 포함한다. 벌크 흡수층은 탄탈 실리사이드-계 물질들(TaSi), 질소화 탄탈 붕화물-계 물질들(TaBN), 또는 탄탈 질소화물-계 물질들(TaN)중 하나를 포함한다. 셀프-마스크층은 벌크 흡수층 에칭 단계 동안 낮은 에칭률을 가져, 하드 마스크로 작용한다.

Description

셀프-마스킹층을 갖는 포토마스크 및 그의 에칭 방법{PHOTOMASK HAVING SELF-MASKING LAYER AND METHODS OF ETCHING SAME}
본 발명의 실시예들은 전반적으로 반도체 장치의 제조에 이용되는 포토마스크에 관한 것으로, 특히 셀프-마스킹층을 갖는 포토마스크 및 그의 에칭 방법에 관한 것이다.
집적회로(IC), 또는 칩의 제조시, 칩의 상이한 층들을 나타내는 패턴들이 칩 설계자에 의해 생성된다. 제조 프로세스 동안 반도체 기판상에 각각의 칩 층의 설계가 전사되도록 재사용가능한 일련의 포토마스크들(본 명세서에서 마스크들로 칭함)이 상기 패턴들로 생성된다. 마스크 패턴 생성 시스템은 각각의 마스크 상에 칩의 각각의 층의 설계를 이미지화시키기 위해 정확한 레이저 또는 전자 빔을 사용한다. 다음 마스크들은 반도체 기판상에 각각의 층에 대한 회로 패턴들을 전사시키기 위해 네거티브형(negative) 포토그래픽과 같이 사용된다. 이러한 층들은 프로세스의 시퀀스를 이용하여 형성되며 각각의 완성된 칩을 포함하는 작은 트랜지스터들 및 전기 회로들로 전달된다. 따라서, 마스크에서의 임의의 결함은 칩에 전달되어, 잠재적으로 악영향을 미칠 수 있다. 상당히 심한 결함들은 마스크를 완전히 사용할 수 없게 만들 수도 있다. 통상적으로, 칩을 구성하는 데는 15 내지 30개의 마스크 세트가 사용되며 이는 반복적으로 사용될 수 있다.
일반적으로 마스크는 그 상부에 불투명한 광흡수층이 배치된 투명 기판을 포함한다. 종래에, 마스크는 통상적으로 한쪽 측면 상에 크롬층을 갖는 유리 또는 석영 기판이다. 크롬층은 비반사 코팅 및 광감성 레지스트로 커버된다. 패터닝 프로세스 동안, 회로 설계는 전자 빔 또는 자외선 광에 레지스트의 부분들을 노출시킴으로써 마스크 상에 기록되며, 노출된 부분들은 현상액에서 용해된다. 다음 레지스트의 용해 부분이 제거되어, 노출된 하부 크롬이 에칭된다. 에칭 프로세스는 레지스트가 제거되는 위치에서 마스크로부터 크롬 및 비반사층들을 제거한다, 즉, 노출된 크롬이 제거된다.
마스크 제조에서의 주요 사항으로는 에칭 최소선폭(CD) 바이어스 제어, 에칭 CD 균일성, 단면 프로파일, 에칭 CD 선형성, 에칭 선택도, 및 결함 제어가 포함된다. 그러나 마스크의 최소선폭의 축소(IC들에 형성된 트랜지스터들 및 전기 회로들의 축소 치수에 해당)로 인해, 현재의 광학적 리소그래피 기술들은 기술적 한계에 이르렀다. 예를 들어, 현재의 광학적 이진(bibary) 마스크에 대해, 65nm 타입 프로세스 하에서 종래의 마스크에 대한 에칭 CD 바이어스는 현재기술수준의 마스크 에칭기(etcher)에서 약 15-20nm이다. 이러한 에칭 바이어스 문제는 주로 포토레지스트의 부식으로부터 야기된다. 흡수층 대 포토레지스트의 제한된 에칭률 선택도로 인해 패턴을 전사하는 동안에 포토레지스트가 상당히 소모된다. 이러한 포토레지스트 소모는 패턴 전사 프로세스의 적합도를 낮춘다.
따라서 개선된 마스크 및 마스크 제조 방법들이 요구된다.
포토마스크 구조물 및 에칭 방법이 제공된다. 일 실시예에서, 포토마스크는 반투명 기판 및 기판 위에 배치된 불투명한 다층의 흡수층을 포함한다. 불투명한 다층의 흡수층은 벌크 흡수층 위에 배치되는 셀프-마스크층을 포함한다. 셀프-마스크층은 질소화 탄탈 및 실리콘-계(silicon-based) 물질들(TaSiON), 탄탈 보론 산화물-계 물질들(TaBO), 또는 산화 및 질소화 탄탈-계 물질들(TaON) 중 하나를 포함한다. 벌크 흡수층은 탄탈 실리사이드-계 물질들(TaSi), 질소화 탄탈 붕화물-계 물질들(TaBN), 또는 탄탈 질소화물-계 물질들(TaN) 중 하나를 포함한다. 셀프-마스크층은 벌크 흡수층의 에칭 단계 동안 낮은 에칭률을 가져 하드 마스크로 작용한다.
본 발명의 또 다른 면에서, 포토마스크를 에칭하는 방법은, 상부에 다층의 흡수층이 배치된 투명 기판을 포함하는 포토마스크를 제공하는 단계; 제 1 에칭 프로세스를 이용하여 셀프-마스크층을 에칭하는 단계; 및 제 1 에칭 프로세스와 상이한 제 2 에칭 프로세스를 이용하여 벌크 흡수층을 에칭하는 단계를 포함하며, 다층의 흡수층은 벌크 흡수층 위에 배치된 셀프-마스크층을 포함하며, 셀프-마스크층은 탄탈 및 산소를 포함하며 벌크 흡수층은 탄탈을 포함하며 산소를 포함하지 않는다.
본 발명의 또 다른 실시예에서, 포토레지스트층, 비반사 서브-층 및 벌크 서브-층을 가지며 포토레지스트층 아래에 놓이는 불투명층, 및 불투명층 아래에 놓이는 실질적으로 반투명 기판층을 포함하는 블랭크 포토마스크 상에 이미지를 생성하 는 방법은, 포토레지스트층에 패터닝된 이미지를 생성하는 단계; 패터닝된 이미지에 해당하지 않는 불투명층의 비반사 서브-층의 부분들이 노출되도록, 패터닝된 이미지에 해당하지 않는 포토레지스트층의 부분들을 제거하는 단계; 패터닝된 이미지에 해당하지 않는 벌크 서브-층의 부분들이 노출되도록, 제 1 에칭 프로세스를 사용하여 패터닝된 이미지에 해당하지 않는 비반사 서브-층의 부분들을 제거하는 단계; 패터닝된 이미지에 해당하지 않는 실질적으로 투명한 층의 부분들이 노출되도록, 비반사 서브-층의 제거 속도보다 적어도 10배 큰 벌크 서브-층 제거 속도를 갖는 제 2 에칭 프로세스를 이용하여 패터닝된 이미지에 해당하지 않는 비반사 서브-층 아래에 놓인 벌크 서브-층의 노출된 부분들을 제거하는 단계; 및 포토레지스트층을 제거하는 단계를 포함한다.
본 발명의 앞서 언급된 특징들을 본 발명의 보다 상세한 설명, 상기 간략한 설명을 통해 이해할 수 있도록, 첨부되는 도면에 도시된 몇 가지 실시예를 참조한다. 그러나 첨부되는 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 등가적인 다른 실시예를 구현할 수 있는 것을 주지해야 한다.
발명의 이해를 돕기 위해 도면에서 공통되는 동일한 부재들을 나타내는데 가능한 동일한 참조번호를 사용했다. 도면의 이미지들은 도시를 위해 간략화된 것이며 실제크기대로 도시된 것은 아니다.
본 발명은 종래의 마스크에 비해 에칭 CD 바이어스를 감소시키고 패턴 전사 적합도를 개선하기 위해, 광학적 이진 포토마스크, 내장형 위상 감쇄 이동 마스크(EAPSM), 및 교번식 어퍼쳐 위상 이동 마스크(AAPSM) 분야에 이용될 수 있는 에칭 방법 및 포토마스크 구조물을 제공한다.
도 1은 본 발명의 블랭크 포토마스크, 또는 마스크(100)의 일 실시예를 나타낸다. 도 1에 도시된 것처럼, 마스크(100)는 다층의 흡수층(104)을 갖는 광학적으로 투명 기판(102)을 포함한다. 통상적으로 기판(102)은 석영(예를 들어, 실리콘 이산화물, SiO2) 등과 같이, 광학적으로 투명한 실리콘계 물질을 포함할 수 있다. 기판(102)은 포토마스크로서 사용하기에 적합한 임의의 크기일 수 있다. 일 실시예에서, 기판(102)은 약 5-9인치 사이의 길이의 측면들을 갖는 직사각형 형상이다. 기판(102)은 약 0.15-0.25인치 두께일 수 있다. 일 실시예에서, 기판(102)은 약 0.25인치 두께이다.
다층의 흡수층(104)은 불투명한 광차단층이며 약 40 내지 110 나노미터(nm) 사이의 두께를 갖는다. 다층의 흡수층(104)은 벌크 흡수층(106)(소위 벌크 서브-층이라 불림)과 셀프-마스크층(108)(또한 비반사 서브-층이라 불림)을 포함한다. 벌크 흡수층(106)은 다층의 흡수층(104) 두께의 약 80-85퍼센트 사이(즉, 약 30-90nm 두께 사이)일 수 있다. 벌크 흡수층(106)은 탄탈 실리사이드-계 물질들(이후 TaSi), 질소화 탄탈 붕화물-계 물질들(이후, TaBN), 및 탄탈 질소화물-계 물질들(이후 TaN)과 같이, 본질적으로 산소를 갖지 않는 탄탈-계 물질을 포함할 수 있다.
셀프-마스크층(108)은 다층의 흡수층(104) 두께의 약 15-20퍼센트 사이(즉, 약 10-30nm 사이의 두께)일 수 있다. 일반적으로 셀프-마스크층(108)의 조성은 탄탈- 및 산소-계 물질을 포함한다. 셀프-마스크층(108)의 조성은 벌크 흡수층(106)의 조성에 해당하며, 벌크 흡수층(106)이 TaSi를 포함할 경우, 산화 및 질소화 탄탈 및 실리콘-계 물질들(이후 TaSiON); 벌크 흡수층(106)이 TaBN을 포함할 경우, 탄탈 보론 산화물-계 물질들(이후 TaBO); 및 벌크 흡수층(106)이 TaN을 포함할 경우, 질소화 탄탈-계 물질들(이후 TaON)을 포함할 수 있다.
벌크 흡수층(106)과 셀프-마스크층(108)의 조성 간의 관계는 바람직하게 마스크(100)를 에칭하는 동안 감소된 결함 형성을 제공한다. 예를 들어, 제 1 에칭 프로세스는 셀프-마스크층(108)을 에칭하기 위해 이용될 수 있고(하기에 보다 상세히 개시됨), 제 2 에칭 프로세스는 벌크 흡수층(106) 대 셀프-마스크층(108)의 높은 에칭 선택도를 유지하면서 벌크 흡수층(106)을 에칭하는데 이용되어, 하드 마스크, 즉 "셀프-마스크(self-mask)"로 기능하는 셀프-마스크층(108)이 제조되고, 보다 얇은 포토레지스트층의 사용이 허용될 수 있다. 이러한 물질 조합 및 다단계 에칭 프로세스는 바람직하게 낮은 에칭 CD 바이어스 및 종래의 "소프트" 포토레지스트 물질을 이용하는 에칭 프로세스보다 우수한 CD 균일성을 제공한다.
블랭크 마스크(100)로부터 개선된 CD 및 균일성을 갖는 완성된 마스크를 제조하기 위한 프로세스가 도 2-3을 참조로 하기에 개시된다. 도 2A-C는 본 발명의 방법의 일 실시예를 이용하여 마스크(100)를 에칭하는 제조 시퀀스의 일 실시예를 나타낸다. 도 3은 도 1의 마스크를 에칭하기 위한 방법(300)의 일 실시예의 흐름도를 나타내며, 도 2A-C를 참조로 개시된다. 방법(300)은 하기 도 4를 참조로 개 시되는 것처럼, TETRATMⅠ, TETRATMⅡ, 또는 캘리포니아 산타클라라의 어플라이드 머티리얼스사로부터 입수가능한 DPS
Figure 112007058867410-pat00001
Ⅱ 에칭 챔버, 또는 다른 적절한 에칭 챔버에서 수행될 수 있다. 방법(300)은 제어기의 메모리 또는 챔버의 다른 저장 매체에 컴퓨터 판독가능 형태로 저장될 수 있다.
방법(300)은 포토레지스트층(202)이 셀프-마스크층(108) 상부에 증착되고 마스크(100)로 전사되는 설계에 해당하는 개구부(204)를 형성하도록 패터닝되어(도 2A 참조), 셀프-마스크층(108)의 해당 부분들이 노출되는 단계(302)에서 시작된다. 포토레지스트층(202)은 임의의 적절한 광감성 레지스트 물질을 포함하며 임의의 적절한 방식으로 증착 및 패터닝된다. 포토레지스트층(202)은 약 100-1000nm 사이의 두께로 증착될 수 있다.
다음, 단계(304)에서, 셀프-마스크층(108)은 마스크로서 포토레지스트층(202)을 사용하는 제 1 에칭 프로세스에서 에칭되어, 개구부(204)의 패턴이 셀프-마스크층(108)으로 전사된다(도 2B 참조). 셀프-마스크층(108)이 TaSiON을 포함하는 실시예에서, 제 1 에칭 프로세스 동안 레지스트에 대한 셀프-마스크층(108)에 대한 에칭 선택도는 약 3.8이고 벌크 흡수층(106)에 대한 셀프-마스크층(108)에 대한 에칭 선택도는 8보다 크다.
제 1 에칭 프로세스는 적어도 하나의 불소-함유 가스, 사염화탄소(CCl4) 또는 염화수소(HCl)를 포함하는 제 1 프로세스 가스(또는 가스 혼합물)로부터의 종들(species)에 층을 노출시킴으로써 셀프-마스크층(108)을 에칭한다. 적절한 불소 -함유 가스들의 예로는 사불화탄소(CF4), 육불화탄소(C2F6), 육불화황(SF6), 삼불화메탄(CHF3) 등이 포함된다. 일 실시예에서, CF4가 약 10-10O sccm 사이의 유량으로 제공된다. 선택적으로, 헬륨(He) 또는 아르곤(Ar)과 같은 캐리어 가스가 약 50-200sccm 사이의 유량으로 제공될 수 있다. 하나의 특정 프로세스 레시피는 약 100sccm 유량의 캐리어 가스와 함께 약 50sccm 유량의 CF4를 제공한다. 프로세스 챔버의 압력은 약 40mTorr 미만으로 제어되며 일 실시예에서는 약 1 내지 약 10mTorr 사이, 예를 들어 2mTorr로 제어된다.
하기 개시되는 것처럼, 예를 들어, 플라즈마 전력원으로부터 프로세스 챔버의 안테나에 약 300 내지 약 600W 사이의 RF 전력을 인가함으로써, 제 1 프로세스 가스로부터 플라즈마가 형성된다. 플라즈마는 다른 방법에 의해 점화될 수도 있다. 일 실시예에서, 약 420W의 RF 전력이 약 13.56MHz의 주파수에서 인가된다.
선택적으로, 마스크(100)를 바이어스하기 위해 기판 바이어스 전압이 인가된다. 바이어스 전력은 약 600W 미만이거나, 또는 제 1 예에서, 약 100W 미만, 또는 제 2 예에서 20 내지 약 150W 사이일 수 있다. 하나의 특정한 프로세스 레시피는 약 25W의 바이어스 전력이 인가된다. 바이어스 전력은 약 1-20MHz 사이, 또는 일 실시예에서 13.56MHz의 주파수에서 제공되는 RF 신호일 수 있다.
선택적으로 바이어스 전력은 펄스형(pulsed)일 수 있다. 바이어스 전력은 약 10-95퍼센트 사이, 또는 일 실시예에서는 약 20-95퍼센트 사이의 듀티 주기로 펄싱될 수 있다. 일 실시예에서, 바이어싱 소스는 약 1 내지 약 10kHz 사이의 펄스 주파수에서 약 10 내지 약 95퍼센트 사이의 듀티 주기로 약 600와트 미만의 RF 전력을 제공하도록 구성된다. 또 다른 실시예에서, 바이어싱 소스는 약 2 내지 약 5kHz 사이의 펄스 주파수에서 약 20 내지 약 95퍼센트 사이의 듀티 주기로 약 20 내지 약 150와트 사이의 RF 전력을 제공하도록 구성된다.
프로세싱 동안, 캐소드 온도는 섭씨 약 15-30도 사이의 온도로 유지될 수 있으며 챔버 벽의 온도는 섭씨 약 50-80도 사이의 온도로 유지될 수 있다. 일 실시예에서, 캐소드 온도는 섭씨 약 20도의 온도로 유지될 수 있고 챔버 벽의 온도는 섭씨 약 65도의 온도로 유지될 수 있다.
다음, 단계(306)에서, 벌크 흡수층(106)은 마스크로서 셀프-마스크층(108) 및 나머지 포토레지스트층(202)을 이용하여 제 2 에칭 프로세스에서 에칭되어, 개구부(204)의 패턴이 벌크 흡수층(106)(도 2C에 도시됨)으로 전사되고 기판(102)의 해당 부분들이 노출된다. 선택적으로, 나머지 포토레지스트층(202)은 단계(306)를 수행하기 이전에 제거되거나 벗겨질 수 있다. 바람직하게 제 2 에칭 프로세스는 벌크 흡수층(106) 대 셀프-마스크층(108)의 높은 선택도를 가져, 셀프-마스크층(108)은 패턴(예를 들어, 개구부(204))을 벌크 흡수층(106)으로 전사하는 하드 마스크로서 작용하게 된다. 제 2 에칭 프로세스는 셀프-마스크층에 대한 벌크 흡수층에 대해 적어도 10의 선택도를 유지한다. 벌크 흡수층(106)이 TaSi를 포함하고 제 2 프로세스 가스가 Cl2를 포함하는 일 실시예에서, 레지스트에 대한 벌크 흡수층(106)의 에칭 선택도는 약 3.8이며 셀프-마스크층(108)에 대한 벌크 흡수층(106)의 에칭 선택도는 약 15이다.
제 2 에칭 프로세스는 층을(개구부(204)를 통해) 적어도 하나의 염소 가스를 포함하는 제 2 프로세스 가스(또는 가스 혼합물)로부터의 종들에 노출시킴으로써 벌크 흡수층(106)을 에칭한다. 적절함 염소 함유 가스의 예로는 염소(Cl2), CCl4, HCl 등이 포함된다.
일 실시예에서, 제 2 프로세스 가스는 약 10-200 sccm 사이의 유량으로 제공된다. 선택적으로, 헬륨(He) 또는 아르곤(Ar)과 같은 캐리어 가스가 약 50-200sccm 사이의 유량으로 제공될 수 있다. 하나의 특정한 프로세스 레시피는 약 100sccm 유량의 캐리어 가스와 함께 약 100 sccm 유량의 제 2 프로세스 가스를 제공한다. 프로세스 챔버에서의 압력은 약 40mTorr 미만으로 제어되며, 일 실시예에서는 약 1 내지 약 10mTorr, 예를 들어 6mTorr로 제어된다.
플라즈마는 하기에 개시되는 바와 같이, 예를 들어, 플라즈마 전력원으로부터의 약 300 내지 약 600W 사이의 RF 전력을 프로세스 챔버의 안테나에 인가함으로써, 제 2 프로세스 가스로부터 형성된다. 플라즈마는 다른 방법에 의해 점화될 수도 있다. 일 실시예에서, 약 420W의 RF 전력이 약 13.56MHz의 주파수에서 인가된다.
선택적으로, 마스크(100)를 바이어스시키기 위해 기판 바이어스 전력이 인가된다. 바이어스 전력은 약 600W 미만이거나 또는 제 1 예에서, 약 100W 미만이거나, 또는 제 2 예에서 20 내지 150W 사이일 수 있다. 하나의 특정한 프로세스 레 시피는 약 20W의 바이어스 전력을 인가한다. 바이어스 전력은 약 1-20MHz 사이, 또는 일 실시예에서 13.56MHz의 주파수에서 제공되는 RF 신호일 수 있다.
바이어스 전력은 선택적으로 펄스형일 수 있다. 바이어스 전력은 약 10-95퍼센트 사이, 또는 일 실시예에서 약 20 내지 95퍼센트 사이의 듀티 주기로 펄싱될 수 있다. 일 실시예에서, 바이어싱 소스는 약 1 내지 약 10kHz 사이의 펄스 주파수에서, 약 10 내지 약 95퍼센트 사이의 듀티 주기로 약 600와트 미만의 RF 전력을 제공하도록 구성된다. 또 다른 실시예에서, 바이어싱 소스는 약 2 내지 약 5kHz 사이의 펄스 주파수에서, 약 20 내지 약 95퍼센트 사이의 듀티 주기로 약 20 내지 약 150와트의 RF 전력을 제공하도록 구성된다.
프로세싱 동안, 캐소드 온도는 섭씨 약 15-30도 사이의 온도로 유지되며 챔버 벽의 온도는 섭씨 약 50-80도 사이의 온도로 유지될 수 있다. 일 실시예에서, 캐소드 온도는 섭씨 약 20도의 온도로 유지될 수 있고 챔버 벽 온도는 섭씨 약 65도의 온도로 유지될 수 있다.
단계(306)의 완료시, 방법(300)은 종결되며 마스크(100)에는 원하는 패턴이 전사된다. EAPSM 또는 AAPSM 분야와 같이 선택적 실시예에서, 일반적으로 종래 기술에 공지된 마스크를 완성하기 위해 추가의 프로세싱이 지속될 수 있다. 예를 들어, EAPSM 마스크에서, 다중-재료층(몰리브덴 및 실리콘 함유층(때로는 MoSi 층으로 불림)과 같은)이 마스크를 완성하기 위해 SF6 또는 CF4를 포함하는 가스를 이용하여 에칭될 수 있다. 선택적으로, AAPSM 마스크에서, 마스크를 완성하기 위하여 석영 기판이 SF6 또는 CF4를 포함하는 가스를 이용하여 에칭될 수 있다.
방법(300)은 종래의 에칭 방법에 비해 개선된 CD 및 균일성을 갖는 마스크(100)를 제공한다. 예를 들어, 벌크 흡수층(106)이 단계(306) 동안 제 2 에칭 프로세스를 이용하여 에칭되는 경우, 나머지 포토레지스트(202)가 개구부(204)의 모서리에세 제거되어, 염소 가스 플라즈마에 셀프-마스크층(108)의 부분들이 노출된다. 그러나 염소 플라즈마에서 벌크 흡수층(106) 대 셀프-마스크층(108)의 높은 선택도로 인해, 심지어 포토레지스트층(202)의 CD가 변할지라도, CD는 크게 축소되지 않을 것이다. 따라서, 최종 CD는 단계(304) 동안, 셀프-마스크층(108)의 비교적 작은 두께로 인해 CD 에칭 바이어스에 충분히 기여하지 않는 셀프-마스크층(108) 에칭에 의해 주로 결정된다. 또한, 국부적 에칭 CD 바이어스가 에칭 CD 균일성에 기여함에 따라, 낮은 CD 바이어스는 CD 균일성 제어에 바람직하다. 방법(300)은 감소된 CD 바이어스, 예를 들어, 약 0 내지 10nm(즉, 10nm 미만)을 갖는 마스크를 제공하는데 바람직하게 사용된다.
본 명세서에 제공되는 신규한 마스크 구조 및 에칭 방법은 에칭 CD 바이어스 및 균일성에 대한 보다 나은 제어를 바람직하게 제공한다. 마스크 구조 및 방법은 종래의 물질 및 에칭 프로세스를 사용하여 개선된 에칭 CD 균일성 제어를 갖는, 즉, 기술 문제가 없는 "제로 에칭 바이어스"를 제공한다. CD 평균-대-타겟(MTT)을 제어하기 위한 현재의 방안은 통상적으로 몇 시간(때로는 20 시간 이상)이 소요되는, 주로 데이터 크기를 이용하는 것이다. 신규한 마스크 구조 및 에칭 방법은 데이터 크기에 대한 요구조건을 없애, 종래의 마스크에 비해 짧은 전달 시간, 높은 생산 수율, 및 낮은 제조 비용을 제공한다.
도 4는 본 발명의 방법이 실행될 수 있는 에칭 반응기(400)의 일 실시예의 개략도를 나타낸다. 본 발명에 개시된 구성을 사용하도록 구성될 수 있는 적절한 반응기로는 예를 들어, DPS
Figure 112007058867410-pat00002
(Decoupled Plasma Source)Ⅱ 반응기, 또는 TETRATMⅠ 및 TETRATMⅡ 포토마스크 에칭 시스템들이 포함되며, 이들 모두는 캘리포니아 산타클라라의 어플라이드 머티리얼스사로부터 입수될 수 있다. DPS
Figure 112007058867410-pat00003
Ⅱ 반응기는 어플라이드 머티리얼스사로부터 입수가능한 CENTURA
Figure 112007058867410-pat00004
통합 반도체 웨이퍼 프로세싱 시스템으로 사용될 수도 있다. 본 명세서에 개시된 반응기(400)의 특정 실시예는 본 발명의 범주를 제한하고자 하는 것이 아니라 도시를 위해 제공되는 것이다.
일반적으로 반응기(400)는 도전성 바디(챔버 벽(404)) 내에 기판 지지 페데스탈(424)을 가지는 프로세스 챔버(402), 및 제어기(446)를 포함한다. 프로세스 챔버(402)는 실질적으로 평탄한 유전체 실링(408)을 포함한다. 프로세스 챔버(402)의 다른 변형물은 다른 형태의 실링, 예를 들어, 돔형상 실링을 포함할 수 있다. 안테나(410)는 실링(408) 상부에 배치된다. 안테나(410)는 선택적으로 제어될 수 있는 하나 이상의 유도성 코일 부재(도 4에는 2개의 동축 부재(410a, 410b)가 도시됨)를 포함한다. 안테나(410)는 제 1 매칭 네트워크(414)를 통해 플라즈마 전력원(412)에 결합된다. 통상적으로 플라즈마 전력원(412)은 약 50kHz 내지 약 13.56MHz 범위에서의 동조가능한 주파수에서 약 3000와트(W)를 생성할 수 있다. 일 실시예에서, 플라즈마 전력원(412)은 약 300 내지 약 600W의 유도적으로 결합된 RF 전력을 제공한다.
기판 페데스탈(캐소드)(424)은 제 2 매칭 네트워크(442)를 통해 바이어싱 전력원(440)에 결합된다. 바이어싱 전력원(440)은 약 1 내지 약 10kHz 범위의 동조가능한 펄스 주파수에서 약 0 내지 약 600와트 사이를 제공한다. 바이어싱 전력원(440)은 펄스형 RF 전력 출력을 생성한다. 선택적으로, 바이어싱 전력원(440)은 펄스형 DC 전력 출력을 생성할 수 있다. 바이어싱 전력원(440)은 일정한 전력 출력을 제공할 수도 있다.
일 실시예에서, 바이어싱 전력원(440)은 약 1 내지 약 10 kHz 사이의 펄스 주파수에서 약 10 내지 약 95퍼센트 사이의 듀티 주기로 약 600와트 미만의 RF 전력을 제공하도록 구성된다. 또 다른 실시예에서, 바이어싱 전력원(440)은 약 2 내지 약 5 kHz 사이의 펄스 주파수에서 약 80 내지 약 95 퍼센트 사이의 듀티 주기로 약 20 내지 약 150 와트 사이의 RF 전력을 제공하도록 구성된다
일 실시예에서, DPS
Figure 112007058867410-pat00005
Ⅱ 반응기에서 처럼, 기판 지지 페데스탈(424)은 정전기 척(460)을 포함할 수 있다. 정전기 척(460)은 적어도 하나의 클램핑 전극(432)을 포함하며 척 전력원(466)에 의해 제어된다. 선택적 실시예에서, 기판 지지 페데스탈(424)은 서셉터 클램프 링, 기계적 척, 등과 같은 기판 보유 메커니즘을 포함할 수 있다.
가스 패널(420)은 프로세스 챔버(402)의 내부에 프로세스 및/또는 다른 가스를 제공하기 위해 프로세스 챔버(402)와 결합된다. 도 4에 도시된 실시예에서, 가 스 패널(420)은 챔버 벽(404)에서 채널(418)에 형성된 하나 이상의 주입구(416)와 결합된다. 하나 이상의 주입구(416)는 다른 위치, 예를 들어, 프로세스 챔버(402)의 실링(408)에 제공될 수도 있다.
일 실시예에서, 가스 패널(420)은 프로세싱 동안 주입구(416)를 통해 프로세스 챔버(402) 내부로 하나 이상의 프로세스 가스를 선택적으로 제공하도록 구성된다. 예를 들어, 일 실시예에서, 가스 패널(420)은, 마스크를 에칭하는 방법과 관련하여 하기 설명되는 바와 같이, 프로세스 챔버(402)의 내부로 불소-함유 및/또는 염소-함유 프로세스 가스(또는 가스들)를 선택적으로 제공하도록 구성될 수 있다. 프로세싱 동안, 플라즈마는 상기 가스로부터 형성되며 플라즈마 전력원(412)으로부터의 전력의 유도 결합을 통해 유지된다. 플라즈마는 선택적으로 다른 방법에 의해 원격적으로 형성되거나 점화될 수 있다.
프로세스 챔버(402)내 압력은 트로틀 밸브(462) 및 진공 펌프(464)를 사용하여 제어된다. 진공 펌프(464) 및 트로틀 밸브(462)는 약 1 내지 약 20mTorr의 범위의 챔버 압력을 유지할 수 있다.
챔버 벽(404)의 온도는 챔버 벽(404)을 통해 연장되는 액체-함유 도관(미도시)을 사용하여 제어될 수 있다. 일반적으로 벽 온도는 섭씨 약 65도로 유지된다. 통상적으로, 챔버 벽(404)은 금속(예를 들어, 알루미늄, 스테인레스 스틸 등)으로 형성되며 전기적 접지(406)에 연결된다. 또한, 프로세스 챔버(402)는 프로세스 제어, 내부 진단(internal diagnostic), 엔드포인트 검출 등을 위한 종래의 시스템들을 포함한다. 이러한 시스템들은 총체적으로 지지 시스템(454)으로 도시된다.
레티클 어댑터(482)는 기판 지지 페데스탈(424) 상에 기판(레티클 또는 다른 제품)(422)을 고정하는데 이용된다. 기판(422)은 광학적 투명 기판(490)과 그위에 배치되는 다층 흡수층(492)을 포함하는(도 1에 도시된 다층 흡수층(104)을 갖는 광학적 투명 기판(102)을 포함하는 마스크(100)와 유사) 블랭크 포토마스크일 수 있다. 일반적으로 레티클 어댑터(482)는 기판 지지 페데스탈(424)의 상부 표면(예를 들어, 정전기 척(460))을 커버하도록 밀링된 하부 부분(484) 및 기판(422)을 보유하도록 크기설정되고 형상화된 개구부(488)를 가지는 상부 부분(486)을 포함한다. 일반적으로 개구부(488)는 기판 지지 페데스탈(424)을 기준으로 실질적으로 중심설정된다. 일반적으로 어댑터(482)는 에칭 저항, 폴리마이드 세라믹 또는 석영과 같은 고온 저항 물질의 단일 부품으로 형성된다. 적절한 레티클 어뎁터는 본 명세서에서 참조되는, 2001년 6월 26일자 발행된 미국 특허 번호 6,251,217호에 개시된다. 에지 링(426)은 기판 지지 페데스탈(424)에 어뎁터(482) 커버 및/또는 고정할 수 있다.
승강 메케니즘(438)은 어뎁터(482)를 상승 및 하강시키는데 이용되어 기판(422)은 기판 지지 페데스탈(424) 상에 또는 기판 지지 페데스탈(424)로부터 상승 및 하강된다. 일반적으로, 승강 메커니즘(438)은 각각의 가이드 홀들(436)을 통해 이동하는 다수의 리프트 핀(하나의 리프트 핀(430)이 도시됨)을 포함한다.
동작시, 기판(422)의 온도는 기판 지지 페데스탈(424)의 온도를 안정화시킴으로써 제어된다. 일 실시예에서, 기판 지지 페데스탈(424)은 히터(444) 및 선택적 히트 싱크(428)를 포함한다. 히터(444)는 열 전달 유체가 흐르도록 구성된 하 나 이상의 유체 도관일 수 있다. 또 다른 실시예에서, 히터(444)는 히터 전력 공급부(468)에 의해 조절되는 적어도 하나의 가열 부재(434)를 포함할 수 있다. 선택적으로, 가스 소스(456)로부터 후방 가스(예를 들어, 헬륨(He))는 가스 도관(458)을 통해 기판(422) 아래의 페데스탈 표면에 형성된 채널에 제공된다. 후방 가스는 기판 지지 페데스탈(424)과 기판(422) 사이의 열 전달을 용이하게 하기 위해 이용된다. 프로세싱 동안, 기판 지지 페데스탈(424)은 헬륨 후방 가스와 조합되어 기판(422)의 균일한 가열을 용이하게 하는 정상-상태 온도로 내장된 히터(444)에 의해 가열될 수 있다.
선택적으로, 이온-라디칼 차폐물(427)은 기판 지지 페데스탈(424) 상의 도전성 바디의 챔버 벽(404)에 위치된다. 이온-라디칼 차폐물(427)은 챔버 벽(404) 및 기판 지지 페데스탈(424)과 전기적으로 절연되며 다수의 구멍(429)을 갖는 실질적으로 평탄한 플레이트(431)를 포함한다. 도 4에 도시된 실시예에서, 차폐물(427)은 다수의 레그(425)에 의해 페데스탈 위로 프로세스 챔버(402)에서 지지된다. 구멍들(429)은 프로세스 챔버(402)의 상부 프로세스 볼륨(478)에 형성된 플라즈마로부터 이온-라디칼 차폐물(427)과 기판(422) 사이에 위치된 하부 프로세스 볼륨(480)을 통과하는 다수의 이온들을 제어하는 차폐물(427)의 표면에 원하는 개구 영역을 형성한다. 개구 영역 보다 클수록 보다 많은 이온들이 이온-라디칼 차폐물(427)을 통과할 수 있다. 이로써, 플레이트(431)의 두께와 함께 구멍(429)의 분포 및 크기가 볼륨(480) 내의 이온 밀도를 조절한다. 결과적으로, 차폐물(427)은 이온 필터이다. 본 발명의 바람직하게 이용될 수 있는 적절한 차폐물의 일례로는 본 명세서에서 참조되며, "METHOD AND APPARATUS FOR PHOTOMASK PLASMA ETCHING"이란 명칭으로 쿠마르 등에 의해 2004년 6월 30일자로 출원된 미국 특허 출원 번호 10/882,084호에 개시된다.
제어기(446)는 중앙 처리 장치(CPU)(450), 메모리(448), 및 CUP(450)에 대한 지지 회로(452)를 포함하며, 앞서 개시된 바와 같이, 에칭 프로세스의 프로세스 챔버(402)의 부품들의 제어를 용이하게 한다. 제어기(446)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위한 산업적 설비에 이용될 수 있는 임의의 형태의 범용성 컴퓨터 프로세서 중 하나일 수 있다. 제어기(446)의 메모리(448)는 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 다른 형태의 디지털 저장, 로컬 또는 리모트와 같이, 쉽게 이용가능한 하나 이상의 메모리일 수 있다. 지지 회로(452)는 종래의 방식으로 프로세서를 지지하는 CPU(450)에 결합된다. 이러한 회로로는 캐쉬, 전력 공급부들, 클럭 회로들, 입/출력 회로 및 서브시스템들 등이 포함된다. 본 발명의 방법은 일반적으로 메모리(448) 또는 소프트웨어 루틴으로서 CPU(450)로 액세스가능한 다른 컴퓨터-판독가능 매체에 저장될 수 있다. 선택적으로, 이러한 소프트웨어 루틴은 CPU(450)에 의해 제어되는 하드웨어로부터 원격적으로 위치된 제 2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다.
따라서, 본 명세서에 제공되는 포토마스크 구조 및 에칭 방법은 종래의 프로세스들에 비해 바람직하게 CD 바이어스 및 균일성을 개선한다. 특히, 본 명세서에 개시되는 마스크 및 에칭 방법은 종래의 방법에 비해 낮은 CD 바이어스 및 큰 균일 성을 제공한다. 마스크 및 에칭 방법은 종래의 마스크에 비해 에칭 CD 바이어스를 감소시키고 패턴 전사 적합도를 개선하기 위해, 광학적 이진 포토마스크, 내장형 위상 감쇄 이동 마스크(EAPSM), 및 교번식 어퍼쳐 위상 이동 마스크(AAPSM) 분야에 이용될 수 있는 에칭 방법 및 포토마스크 구조물을 제공한다.
지금까지 본 발명의 실시예들을 개시하였으나, 본 발명의 다른 추가적인 실시예가 첨부되는 특허청구범위에 한정된 본 발명의 기본 사상 및 범주내에서 고안될 수 있다.
도 1은 본 발명의 일 실시예에 따른 마스크 블랭크를 나타낸다.
도 2A-C는 본 발명의 방법의 일 실시예를 이용하는 마스크에 대한 제조 시퀀스의 일 실시예를 나타낸다.
도 3은 도 1의 마스크를 에칭하기 위한 방법의 일 실시예의 흐름도를 나타낸다.
도 4는 마스크 에칭에 적합한 에칭 반응기의 개략도를 나타낸다.

Claims (21)

  1. 포토마스크로서,
    반투명 기판; 및
    상기 반투명 기판 위로 배치되는 불투명한 다층의 흡수층
    을 포함하며, 상기 불투명한 다층의 흡수층은 셀프-마스크층 및 벌크 흡수층을 포함하며, 상기 셀프-마스크층은 상기 벌크 흡수층 위에 배치되며, 상기 셀프-마스크층은 산화 및 질소화 탄탈 및 실리콘-계(silicon-based) 물질들(TaSiON), 탄탈 보론 산화물-계 물질들(TaBO), 또는 산화 및 질소화 탄탈-계 물질들(TaON) 중 하나를 포함하는, 포토마스크.
  2. 제 1 항에 있어서,
    상기 벌크 흡수층은 탄탈 실리사이드-계 물질들(TaSi), 질소화 탄탈 붕화물-계 물질들(TaBN), 또는 탄탈 질소화물-계 물질들(TaN) 중 하나를 포함하는 것을 특징으로 하는 포토마스크.
  3. 제 1 항에 있어서,
    상기 벌크 흡수층은 상기 다층의 흡수층 두께의 80-85 퍼센트 사이이며, 상기 셀프-마스크층은 상기 다층의 흡수층 두께의 15-20 퍼센트 사이인 것을 특징으로 하는 포토마스크.
  4. 제 1 항에 있어서,
    상기 포토마스크의 두께는 0.15 내지 0.25 인치 사이인 것을 특징으로 하는 포토마스크.
  5. 제 1 항에 있어서,
    상기 불투명한 다층의 흡수층 두께는 40 내지 100 nm 사이인 것을 특징으로 하는 포토마스크.
  6. 제 1 항 내지 제 5 항중 어느 한 항에 있어서,
    상기 셀프-마스크층은 산화 및 질소화 탄탈 및 실리콘-계 물질들(TaSiON)을 포함하며 상기 벌크 흡수층은 탄탈 실리사이드-계 물질들(TaSi)을 포함하는 것을 특징으로 하는 포토마스크.
  7. 제 1 항 내지 제 5 항중 어느 한 항에 있어서,
    상기 셀프-마스크층은 탄탈 보론 산화물-계 물질들(TaBO)을 포함하며 상기 벌크 흡수층은 질소화 탄탈 붕화물-계 물질들(TaBN)을 포함하는 것을 특징으로 하는 포토마스크.
  8. 제 1 항 내지 제 5 항중 어느 한 항에 있어서,
    상기 셀프-마스크층은 산화 및 질소화 탄탈-계 물질들(TaON)을 포함하며 상 기 벌크 흡수층은 탄탈 질소화물-계 물질들(TaN)을 포함하는 것을 특징으로 하는 포토마스크.
  9. 포토마스크를 에칭하는 방법으로서,
    그 상부에 다층의 흡수층이 배치된 투명 기판을 포함하는 포토마스크를 제공하는 단계 - 상기 다층의 흡수층은 벌크 흡수층 위에 배치되는 셀프-마스크층을 포함하며, 상기 셀프-마스크층은 탄탈 및 산소를 포함하고 상기 벌크 흡수층은 탄탈을 포함하고 산소는 포함하지 않음 - ;
    상기 셀프-마스크층을 제 1 에칭 프로세스를 이용하여 에칭하는 단계; 및
    상기 제 1 에칭 프로세스와 상이한 제 2 에칭 프로세스를 이용하여 상기 벌크 흡수층을 에칭하는 단계 - 상기 벌크 흡수층의 에칭률은 상기 제 2 에칭 프로세스 동안 상기 셀프-마스크층의 에칭률 보다 큼 -
    를 포함하는, 포토마스크 에칭 방법.
  10. 제 9 항에 있어서,
    상기 포토마스크는 상기 다층의 흡수층 위에 배치되는 포토레지스트층을 더 포함하는 블랭크 포토마스크이며, 상기 셀프-마스크층은 비반사 서브층이며 상기 벌크 흡수층은 벌크 서브층이며, 상기 방법은,
    상기 포토레지스트층에 패터닝된 이미지를 생성하는 단계;
    상기 패터닝된 이미지에 해당하지 않는 비반사 서브층의 부분들이 노출되도록, 상기 패터닝된 이미지에 해당하지 않는 포토레지스트층의 부분들을 제거하는 단계; 및
    상기 포토레지스트층을 제거하는 단계
    를 더 포함하며, 상기 셀프-마스크층을 에칭하는 단계는, 상기 패터닝된 이미지에 해당하지 않는 상기 벌크 서브층의 부분들이 노출되도록, 상기 제 1 에칭 프로세스를 이용하여 상기 패터닝된 이미지에 해당하지 않는 상기 비반사 서브층의 노출된 부분들을 제거하는 단계를 더 포함하며,
    상기 벌크 흡수층을 에칭하는 단계는 상기 제 2 에칭 프로세스를 이용하여 상기 패터닝된 이미지에 해당하지 않는 상기 비반사 서브층 아래에 놓인 상기 벌크 서브층의 노출된 부분들을 제거하는 단계를 더 포함하며,
    상기 제 2 에칭 프로세스는 상기 패터닝된 이미지에 해당하지 않는 투명한층의 부분들이 노출되도록, 비반사 서브층 제거 속도 보다 적어도 10배 큰 벌크 서브층 제거 속도를 갖는 것을 특징으로 하는 포토마스크 에칭 방법.
  11. 제 9 항 또는 제 10 항에 있어서,
    상기 셀프-마스크층은 산화 및 질소화 탄탈 및 실리콘-계 물질들(TaSiON), 탄탈 보론 산화물-계 물질들(TaBO), 또는 산화 및 질소화 탄탈-계 물질들(TaON)중 하나를 포함하는 것을 특징으로 하는 포토마스크 에칭 방법.
  12. 제 9 항 또는 제 10 항에 있어서,
    상기 벌크 흡수층은 탄탈 실리사이드-계 물질들(TaSi), 질소화 탄탈 붕화물-계 물질들(TaBN), 또는 탄탈 질소화물-계 물질들(TaN)중 하나를 포함하는 것을 특징으로 하는 포토마스크 에칭 방법.
  13. 제 9 항 또는 제 10 항에 있어서,
    상기 셀프-마스크층은 산화 및 질소화 탄탈 및 실리콘-계 물질들(TaSiON)을 포함하며 상기 벌크 흡수층은 탄탈 실리사이드-계 물질들(TaSi)을 포함하는 것을 특징으로 하는 포토마스크 에칭 방법.
  14. 제 9 항 또는 제 10 항에 있어서,
    상기 셀프-마스크층은 탄탈 보론 산화물-계 물질들(TaBO)을 포함하며 상기 벌크 흡수층은 질소화 탄탈 붕화물-계 물질들(TaBN)을 포함하는 것을 특징으로 하는 포토마스크 에칭 방법.
  15. 제 9 항 또는 제 10 항에 있어서,
    상기 셀프-마스크층은 산화 및 질소화 탄탈-계 물질들(TaON)을 포함하며 상기 벌크 흡수층은 탄탈 질소화물-계 물질들(TaN)을 포함하는 것을 특징으로 하는 포토마스크 에칭 방법.
  16. 제 9 항 또는 제 10 항에 있어서,
    상기 제 1 에칭 프로세스는 불소 함유 가스, 사염화탄소(CCl4), 또는 염화수소(HCl)중 적어도 하나를 포함하는 프로세스 가스로 상기 셀프-마스크층을 에칭하는 단계를 포함하는 것을 특징으로 하는 포토마스크 에칭 방법.
  17. 제 9 항 또는 제 10 항에 있어서,
    상기 제 1 에칭 프로세스는 삼불화메탄(CHF3), 사불화탄소(CF4), 육불화황(SF6), 육불화탄소(C2F6), 사염화탄소(CCl4), 또는 염화수소(HCl)중 적어도 하나를 포함하는 프로세스 가스로 상기 셀프-마스크층을 에칭하는 단계를 포함하는 것을 특징으로 하는 포토마스크 에칭 방법.
  18. 제 9 항 또는 제 10 항에 있어서,
    상기 제 2 에칭 프로세스는 적어도 하나의 염소 함유 프로세스 가스로 상기 벌크 흡수층을 에칭하는 단계를 포함하는 것을 특징으로 하는 포토마스크 에칭 방법.
  19. 제 9 항 또는 제 10 항에 있어서,
    상기 제 2 에칭 프로세스는 염소(Cl2), 사염화탄소(CCl4), 또는 염화수소(HCl)중 적어도 하나를 포함하는 프로세스 가스로 상기 벌크 흡수층을 에칭하는 단계를 포함하는 것을 특징으로 하는 포토마스크 에칭 방법.
  20. 제 9 항에 있어서,
    상기 셀프-마스크층을 에칭하기 이전에 상기-셀프 마스크층 상부에 포토레지스트층을 증착 및 패터닝하는 단계를 더 포함하는 것을 특징으로 하는 포토마스크 에칭 방법.
  21. 제 9 항에 있어서,
    상기 제 2 에칭 프로세스는 상기 셀프-마스크층에 대한 상기 벌크 흡수층의 선택도를 적어도 10으로 유지하는 것을 특징으로 하는 포토마스크 에칭 방법.
KR1020070081858A 2006-09-15 2007-08-14 셀프-마스킹층을 갖는 포토마스크 및 그의 에칭 방법 KR100925080B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/532,259 2006-09-15
US11/532,259 US7771894B2 (en) 2006-09-15 2006-09-15 Photomask having self-masking layer and methods of etching same

Publications (2)

Publication Number Publication Date
KR20080025292A KR20080025292A (ko) 2008-03-20
KR100925080B1 true KR100925080B1 (ko) 2009-11-04

Family

ID=38917389

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070081858A KR100925080B1 (ko) 2006-09-15 2007-08-14 셀프-마스킹층을 갖는 포토마스크 및 그의 에칭 방법

Country Status (6)

Country Link
US (1) US7771894B2 (ko)
EP (1) EP1901119A3 (ko)
JP (2) JP5844025B2 (ko)
KR (1) KR100925080B1 (ko)
CN (1) CN101144974B (ko)
TW (1) TWI432886B (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4845978B2 (ja) * 2008-02-27 2011-12-28 Hoya株式会社 フォトマスクブランクおよびフォトマスク並びにフォトマスクの製造方法
JP5581293B2 (ja) * 2008-02-27 2014-08-27 Hoya株式会社 フォトマスクブランク及びその製造方法、並びにフォトマスク及びその製造方法
KR101020281B1 (ko) * 2008-06-20 2011-03-07 주식회사 하이닉스반도체 극자외선 리소그라피 마스크의 제조 방법
US8233248B1 (en) 2009-09-16 2012-07-31 Western Digital (Fremont), Llc Method and system for providing a magnetic recording transducer using a line hard mask
JP5434825B2 (ja) * 2010-07-09 2014-03-05 信越化学工業株式会社 ドライエッチング方法
US8871102B2 (en) 2011-05-25 2014-10-28 Western Digital (Fremont), Llc Method and system for fabricating a narrow line structure in a magnetic recording head
JP6125772B2 (ja) * 2011-09-28 2017-05-10 Hoya株式会社 反射型マスクブランク、反射型マスクおよび反射型マスクの製造方法
US9034564B1 (en) 2013-07-26 2015-05-19 Western Digital (Fremont), Llc Reader fabrication method employing developable bottom anti-reflective coating
CN104516138B (zh) * 2013-09-29 2017-09-22 中芯国际集成电路制造(上海)有限公司 硅基液晶面板的制作方法
CN103738914B (zh) * 2014-01-09 2016-01-20 上海华虹宏力半导体制造有限公司 Mems器件的制造方法
JP6266842B2 (ja) * 2015-08-31 2018-01-24 Hoya株式会社 マスクブランク、マスクブランクの製造方法、位相シフトマスク、位相シフトマスクの製造方法及び半導体デバイスの製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5939227A (en) * 1998-03-09 1999-08-17 Rochester Institute Of Technology Multi-layered attenuated phase shift mask and a method for making the mask
US5955222A (en) * 1996-12-03 1999-09-21 International Business Machines Corporation Method of making a rim-type phase-shift mask and mask manufactured thereby
US6749974B2 (en) * 1999-09-30 2004-06-15 Photronics, Inc. Disposable hard mask for photomask plasma etching

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57161857A (en) * 1981-03-31 1982-10-05 Dainippon Printing Co Ltd Photomask blank plate
JPH0650387B2 (ja) * 1986-03-31 1994-06-29 アルバツク成膜株式会社 フオトマスクおよびその製造方法
JPH0650388B2 (ja) * 1986-04-04 1994-06-29 アルバツク成膜株式会社 フオトマスクおよびその製造方法
KR100401503B1 (ko) * 2001-04-30 2003-10-17 주식회사 하이닉스반도체 반도체소자의 캐패시터 및 그 제조방법
DE10156366B4 (de) 2001-11-16 2007-01-11 Infineon Technologies Ag Reflexionsmaske und Verfahren zur Herstellung der Reflexionsmaske
JP2006011434A (ja) * 2002-03-29 2006-01-12 Hoya Corp マスクブランク用基板、マスクブランクおよび転写用マスクの製造方法
JP4212025B2 (ja) * 2002-07-04 2009-01-21 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに反射型マスクの製造方法
US20060060565A9 (en) * 2002-09-16 2006-03-23 Applied Materials, Inc. Method of etching metals with high selectivity to hafnium-based dielectric materials
US20050042523A1 (en) * 2003-08-20 2005-02-24 Banqiu Wu Endpoint detection of plasma-assisted etch process
US20060008749A1 (en) * 2004-07-08 2006-01-12 Frank Sobel Method for manufacturing of a mask blank for EUV photolithography and mask blank
US20060051681A1 (en) * 2004-09-08 2006-03-09 Phototronics, Inc. 15 Secor Road P.O. Box 5226 Brookfield, Conecticut Method of repairing a photomask having an internal etch stop layer
JP2006078825A (ja) * 2004-09-10 2006-03-23 Shin Etsu Chem Co Ltd フォトマスクブランクおよびフォトマスクならびにこれらの製造方法
JP2006085096A (ja) * 2004-09-17 2006-03-30 Fujitsu Ltd 露光用マスクとその製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5955222A (en) * 1996-12-03 1999-09-21 International Business Machines Corporation Method of making a rim-type phase-shift mask and mask manufactured thereby
US5939227A (en) * 1998-03-09 1999-08-17 Rochester Institute Of Technology Multi-layered attenuated phase shift mask and a method for making the mask
US6749974B2 (en) * 1999-09-30 2004-06-15 Photronics, Inc. Disposable hard mask for photomask plasma etching

Also Published As

Publication number Publication date
KR20080025292A (ko) 2008-03-20
US7771894B2 (en) 2010-08-10
TWI432886B (zh) 2014-04-01
JP2008070883A (ja) 2008-03-27
TW200823599A (en) 2008-06-01
JP5844025B2 (ja) 2016-01-13
US20080070127A1 (en) 2008-03-20
EP1901119A2 (en) 2008-03-19
EP1901119A3 (en) 2012-06-06
CN101144974B (zh) 2011-03-30
CN101144974A (zh) 2008-03-19
JP2014194564A (ja) 2014-10-09

Similar Documents

Publication Publication Date Title
KR100925080B1 (ko) 셀프-마스킹층을 갖는 포토마스크 및 그의 에칭 방법
US7771895B2 (en) Method of etching extreme ultraviolet light (EUV) photomasks
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US7790334B2 (en) Method for photomask plasma etching using a protected mask
US7879510B2 (en) Method for quartz photomask plasma etching
US10199224B2 (en) Method for improving CD micro-loading in photomask plasma etching
US8778574B2 (en) Method for etching EUV material layers utilized to form a photomask
US20130092655A1 (en) Method for etching an euv reflective multi-material layers utilized to form a photomask
JP5459945B2 (ja) 位相シフトフォトマスク及びその製造方法
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140929

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160929

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20181015

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20191001

Year of fee payment: 11