JP2008146029A - エッチングリアクタを用いたナノ−インプリントテンプレートのエッチング - Google Patents

エッチングリアクタを用いたナノ−インプリントテンプレートのエッチング Download PDF

Info

Publication number
JP2008146029A
JP2008146029A JP2007284944A JP2007284944A JP2008146029A JP 2008146029 A JP2008146029 A JP 2008146029A JP 2007284944 A JP2007284944 A JP 2007284944A JP 2007284944 A JP2007284944 A JP 2007284944A JP 2008146029 A JP2008146029 A JP 2008146029A
Authority
JP
Japan
Prior art keywords
etching
resist material
containing gas
layer
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007284944A
Other languages
English (en)
Other versions
JP5265174B2 (ja
Inventor
Madhavi R Chandrachood
アール チャンドラチュッド マドハビ
Ajay Kumar
クマー アジャイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008146029A publication Critical patent/JP2008146029A/ja
Application granted granted Critical
Publication of JP5265174B2 publication Critical patent/JP5265174B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

【課題】インプリントレジスト材料を用いて金属層をエッチングする方法を提供する。
【解決手段】一実施形態において、フォトリソグラフィーレチクルを処理する方法は、光学的に透明な基板上に形成された金属フォトマスク層を有するレチクル及び金属フォトマスク層上に堆積したインプリントレジスト材料を提供する工程と、第1のエッチングステップにおいて、インプリントレジスト材料のリセス領域をエッチングして、金属フォトマスク層の部分を露出する工程と、第2のエッチングステップにおいて、インプリントレジスト材料を通して金属フォトマスク層の露出した部分をエッチングする工程とを含み、第1又は第2のエッチングステップのうち少なくとも1つが、酸素、ハロゲン及び塩素含有ガスを含む処理ガスから形成されたプラズマを利用する。一実施形態において、処理ガスを第1及び第2のエッチングステップの両方で利用する。他の実施形態において、第1及び第2のエッチングステップは、同じ処理チャンバで実施される。
【選択図】図2

Description

背景
(分野)
本発明の実施形態は、ナノ−インプリント技術を用いた構造の製造に関する。
(関連技術の背景)
UVナノ−インプリント技術は、マイクロ−及びナノ−構造の製造に利用されている。ナノ−インプリントは、機械的複製技術であり、基板にスピンコートされたUV硬化性レジストへ、鋳型をプレスするものである。インプリント領域において、鋳型を通してレジストにUV照射を行うと、レジストが重合及び硬化される。鋳型を除去すると、レジストに形成されたパターンの反転した三次元複製が残る。インプリントレジストの薄い部分を除去して、レジスト層に開口部を作成してマスクを形成し、これを利用してレジストの下にある1枚以上の層をエッチングする。この技術によって、10nmの間隔で迅速で高精細な印刷及びかなりのパターン忠実性が可能となる。
しかしながら、等方的にエッチングする傾向のあるウェットエッチング等の最も一般的なエッチングプロセスは、小さなパターンを下にある材料に確実に転写するのには不適である。特に、アンダーカット現象が、エッチングされた下にある材料のパターン化されたフィーチャーを生成する可能性がある。それらは、均一な間隔ではなく、望ましい直線の垂直側壁を有していないため、フィーチャーの限界寸法が失われる。更に、フィーチャーの等方性エッチングは、フィーチャーの側壁を高アスペクト比でオーバーエッチングする恐れがあり、その結果、フィーチャーの限界寸法の喪失となる。
ドライエッチング処理又はドライエッチングとして知られているプラズマエッチング処理は、ウェットエッチングプロセスよりも異方性のエッチングを行う。ドライエッチングプロセスは、アンダーカットの生成が少なくなり、より直線の側壁及びより平坦な底部を備えた、従来のリソグラフィー技術を用いて形成されたフォトマスクフィーチャーの限界寸法の保持を改善することが分かっている。しかしながら、ドライエッチングは、下にある層の限界寸法を定めるのに用いるレジスト材料に形成された開口部又はパターンの側壁をオーバーエッチングしたり、不正確にエッチングする恐れがある。レジスト材料の過剰な側部除去の結果、パターン化されたレジストフィーチャーの限界寸法が失われて、パターン化されたレジストにより定められる下にある層に形成されたフィーチャーの限界寸法の喪失につながる。更に、不正確なエッチングだと、必要な限界寸法を与えるだけ十分にフィーチャーをエッチングできない恐れがある。限界寸法までフィーチャーを十分にエッチングできないことは、限界寸法の「増大」と呼ばれている。金属層における限界寸法の喪失又は増大の程度は、「エッチングバイアス」又は「CDバイアス」と呼ばれている。
限界寸法制御の喪失は、殆んど全てのエッチング用途において懸念されることであるが、フォトマスク製造においてはとりわけ問題である。フォトマスクレチクルを含む下にある層に形成されたパターンの限界寸法の喪失又は増大は、そこを通る光に悪影響を及ぼし、フォトリソグラフィーレチクルにより、パターン化された基板に数多くのパターニング欠陥及び後のエッチング欠陥を生成し得る。フォトマスクの限界寸法の喪失又は増大の結果、高アスペクト比のサブミクロンフィーチャーをエッチングするには不十分なフォトリソグラフィー性能となる可能性があり、限界寸法の喪失又は増大が深刻な場合には、フォトリソグラフィーレチクル又は後にエッチングされたデバイスの不具合となる可能性がある。ナノ−インプリント技術は、非常に小さなアパーチャを生成できるため、従来のエッチング技術だと、確実なフォトマスク製造が許容できる程度まで、インプリントレジストの下にある層への効果的なパターン転写ができない。
従って、ナノ−インプリント技術を用いた構造の製造に好適なプロセス及び化学が必要とされている。
発明の概要
インプリントレジスト材料を用いた金属層のエッチング方法が提供される。本方法は、これらに限られるものではないが、フォトマスクレチクル製造に特に好適である。
一実施形態において、光学的に透明な基板上に形成された金属フォトマスク層を有するレチクル及び金属フォトマスク層上に堆積したインプリントレジスト材料を提供する工程と、第1のエッチングステップにおいて、インプリントレジスト材料のリセス領域をエッチングして、金属フォトマスク層の部分を露出する工程と、第2のエッチングステップにおいて、インプリントレジスト材料を通して金属フォトマスク層の露出した部分をエッチングする工程とを含み、第1又は第2のエッチングステップのうち少なくとも1つが、酸素含有ガス、塩素含有ガス及びハロゲン含有ガスを含む処理ガスから形成されたプラズマを利用する、フォトリソグラフィーレチクルを処理する方法が提供される。
他の実施形態において、第1及び第2のエッチングステップの両方で利用される処理ガスは、酸素含有ガス、塩素含有ガス及びハロゲン含有ガスを含む。
他の実施形態において、第1及び第2のエッチングステップの両方で利用される処理ガスは、異なる比率の酸素含有ガス、塩素含有ガス及びハロゲン含有ガスを含む。
他の実施形態において、第1及び第2のエッチングステップは、同じ処理チャンバで実施される。
詳細な説明
本発明の態様を、誘導結合プラズマエッチングチャンバを参照して以下に説明する。好適な誘導結合プラズマエッチングチャンバとしては、カリフォルニア州、サンタクララ(Santa Clara,California)のアプライドマテリアルズ社(Applied Materials,Inc.,)より入手可能なフォトマスクエッチングチャンバのテトラ(Tetra)(商標名)系列、又は任意で、分離プラズマ源(DPS I(商標名)、DPS II(商標名)及びDPS Plus(商標名))処理チャンバが挙げられる。
その他の処理チャンバを用いて、本発明のプロセスを実施してもよい。例えば、容量結合パラレルプレートチャンバ、磁気強化イオンエッチングチャンバ及び異なる設計の誘導結合プラズマエッチングチャンバが挙げられる。かかる好適な処理チャンバの例は、1999年6月3日出願の米国特許出願第09/325,026号、2006年10月30日出願の米国特許出願第11/554,502号及び2006年10月30日出願の米国特許出願第11/554,495号に開示されている。処理は、テトラ(Tetra)(商標名)フォトマスクエッチングチャンバで有利に実施されるが、処理チャンバの説明は例示であり、本発明の態様の範囲を限定するとは理解又は解釈されないものとする。本発明では、その他のメーカーのものをはじめとして、他の処理チャンバで有益に実施されることも意図されている。
図1は、概して、基板台座124を有する処理チャンバ本体102と、コントローラ146とを含む処理チャンバ100の一実施形態の概略断面図である。チャンバ本体102は、実質的に平坦な誘電性シーリング108をサポートする導電性壁104を有する。処理チャンバ100の他の実施形態は、その他のタイプのシーリング、例えば、ドーム形シーリングを有していてもよい。アンテナ110が、シーリング108の上に配置されている。アンテナ110は、選択的に制御してよい1つ以上の誘導コイル要素を含む(図1には2つの同軸要素110aと110bが示されている)。アンテナ110は、第1の整合ネットワーク114を通して、プラズマ電源112に連結されている。プラズマ電源112は、約50kHz〜約13.56MHzの範囲の調整可能な周波数で、一般的に、約3000ワット(W)まで出すことができる。
基板台座(カソード)124は、第2の整合ネットワーク142を通して、バイアス電源140に連結されている。バイアス電源140は、約1〜約10kHzの範囲の調整可能なパルス周波数で約ゼロ〜約600Wを出す。バイアス源140は、パルスRF出力を出す。或いは、バイアス源140は、パルスDC出力を出してもよい。源140はまた、一定DC及び/又はRF出力を出してもよいものと考えられる。
一実施形態において、基板サポート台座124は、静電チャック160を含む。静電チャック160は、少なくとも1つのクランプ電極132を含み、チャック電源166により制御される。変形実施形態において、基板台座124は、サセプタクランプリング、真空チャック、メカニカルチャック等といった基板保持機構を含んでいてもよい。
ガスパネル120が、処理チャンバ100に連結されて、処理及び/又はその他ガスをプロセスチャンバ本体102の内部に提供する。図1に示す実施形態において、ガスパネル120は、チャンバ本体102の側壁104のチャネル118に形成された1つ以上の入口116に連結されている。1つ以上の入口116が、他の位置、例えば、処理チャンバ100のシーリング108に提供されていてもよいものと考えられる。
処理チャンバ100の圧力は、スロットルバルブ162と真空ポンプ164を用いて制御される。真空ポンプ164及びスロットルバルブ162は、約1〜約20ミリトルの範囲にチャンバ圧力を維持することができる。
壁104の温度は、壁104を通る液体含有管(図示せず)を用いて制御してよい。壁温度は、通常、摂氏約65度に維持される。一般的に、チャンバ壁104は、金属(例えば、アルミニウム、ステンレス鋼等)から形成されていて、電気接地106に連結されている。処理チャンバ100はまた、プロセス制御、内部診断、終点検出等の従来のシステムも含む。かかるシステムは、サポートシステム154として集合的に示されている。
レチクルアダプタ182を用いて、基板(レチクルやその他ワークピース等)122を基板サポート台座124に固定する。レチクルアダプタ182は、通常、台座124の上表面(例えば、静電チャック160)をカバーするようギザのついた下部184と、基板122を保持するサイズ及び形状とした開口部188を有する上部186とを含む。開口部188は、通常、台座124に対して実質的に中心にある。アダプタ182は、通常、ポリイミドセラミック又は水晶等、単体のエッチング抵抗性のある耐熱材料から形成されている。好適なレチクルアダプタは、2001年6月26日発行の米国特許第6,251,217号に開示されている。エッジリング126で、アダプタ182をカバーし、且つ/又は台座124に固定してもよい。
リフト機構138を用いてアダプタ182を上げ下げし、基板サポート台座124の基板122を昇降する。通常、リフト機構138は、各合わせ穴136を通して動く複数のリフトピン(1つのリフトピン130が図示されている)を含む。
動作中、基板122の温度は、基板台座124の温度を安定化することにより制御する。一実施形態において、基板サポート台座124は、ヒータ144と、任意のヒートシンク128とを含む。ヒータ144は、熱伝導流体がその中を流れるように構成された1つ以上の流体管であってよい。他の実施形態において、ヒータ144は、少なくとも1つの加熱要素134を含んでおり、これは、ヒータ電源168により調節される。任意で、ガス源156からの裏側ガス(例えば、ヘリウム(He))が、ガス管158を介して、基板122下の台座表面に形成されたチャネルへ提供される。裏側ガスを用いて、台座124と基板122の間の熱伝達を促す。処理中、台座124は、埋め込みヒータ144により定常状態温度まで加熱され、ヘリウム裏側ガスと組み合わされて、基板122の均一な加熱を促す。
コントローラ146は、中央演算処理装置(CPU)150、メモリ148及びCPU150のサポート回路152を含み、処理チャンバ100のコンポーネント、及びエッチングプロセス自体の制御を促す。これについては詳細を後述してある。コントローラ146は、様々なチャンバ及びサブプロセッサを制御するための工業環境に用いることのできる汎用コンピュータプロセッサの形態にあってよい。CPU150のメモリ148は、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピー(商標名)ディスク、ハードディスク又はデジタル保存、ローカル又はリモートのその他の形態等、容易に入手可能なメモリのうち1つ以上であってよい。サポート回路152は、従来のやり方でプロセッサをサポートするCPU150に連結されている。これらの回路は、キャッシュ、電源、クロック回路、入力/出力回路及びサブシステム等を含む。本発明の方法は、通常、ソフトウェアルーチンとして、メモリ148又はCPU150にアクセス可能なその他コンピュータ読取り可能な媒体に保存される。或いは、かかるソフトウェアルーチンはまた、CPU150により制御されるハードウェアから遠隔配置された第2のCPU(図示せず)により保存及び/又は実行されてもよい。
以下のプロセスの説明は、本明細書に記載した処理ガスを用いて基板をエッチングする一実施形態を示しているが、本発明では、200mm及び/又は300mm基板処理用のフォトリソグラフィーレチクル等、異なる基板サイズについて、異なるエッチングチャンバ等の異なる装置でこのプロセスを行うのに、本明細書に記載した範囲外の処理パラメータを用いることが意図されている。
(例示のエッチングプロセス)
以下の説明は、フォトリソグラフィーレチクル製造においてフォトマスクとして、クロム及び酸窒化クロム等の金属層をエッチングするプロセスシーケンスの一実施形態を示しているが、エッチングガスを用いて、半導体及びフォトリソグラフィーレチクル製造において基板上に形成されたその他の材料層をエッチングしてもよいものと考えられる。
通常、フォトリソグラフィーレチクルは、光学的に透明な基板に堆積した、フォトマスクとして知られている不透明層を含む。不透明層は、金属層、例えば、クロム、又は、フォトマスクとして用いるのに好適な業界に知られた、又は知られていない他の材料を含む。例えば、本発明では、不透明層が非金属誘電性材料を含んでいてもよいものと考えられる。基板122の光学的に透明な材料は、これらに限られるものではないが、約300ナノメートル(nm)以下の波長を有する光を通す、例えば、248nm〜193nmの波長を有する紫外線を通す材料を含むものとして広く定義される。
図2は、インプリントレジスト層を通して、金属層をエッチングするのに好適なエッチングプロセス200のプロセスシーケンスの一実施形態のフローチャートである。フローチャートは例示の目的で提供されており、本発明のいずれの態様の範囲も限定するとは解釈されないものとする。図3A〜3Gに、フォトマスク形成プロセス中のポイントでのフォトリソグラフィーレチクルの構成を示し、図2で上述したプロセスを更に示す。
一般的に、光学的品質の水晶等の光学的に透明な材料310、融解シリカ材料、ケイ化モリブデン(MoSi)、酸窒化モリブデンケイ素(MoSi)、フッ化カルシウム、アルミナ、サファイヤ又はこれらの組み合わせを含む基板122を、ブロック210で、図1の処理チャンバ100のような処理チャンバに提供する。
基板122は、図3Aに示す通り、基板材料310上に配置された、一般的にクロムを含む、金属フォトマスク層として、不透明金属層320を有する。クロム層は、物理蒸着(PVD)又は化学蒸着(CVD)技術による等、業界に公知の従来の方法により堆積してよい。金属層320は、一般的に、約50〜約100nmの厚さで堆積される。しかしながら、金属層320の厚さは、メーカーの要件及び基板又は金属層の材料の組成に基づいて異なってよい。
任意で、反射防止コーティング(ARC又はARC層)を、堆積金属層320に形成したり、この層の一部を構成してもよい。ARC層は、不透明層に形成されるべきフィーチャーをパターン化するのにフォトリソグラフィー精度を改善するものと考えられる。ARC層は、非金属性汚染物質又は不純物を組み込む金属層であってよく、例えば、酸窒化クロム等の金属酸窒化層を形成する。酸窒化クロムは、金属層の堆積中に、又は金属層を、酸化及び窒化環境等の好適な雰囲気に露出することにより形成してもよい。或いは、酸窒化クロム層は、物理蒸着(PVD)又は化学蒸着(CVD)技術による等、業界に公知の従来の方法により堆積してもよい。金属酸窒化層は、金属層320の合計厚さの上部25パーセントまでを構成していてよい。
任意のARC層は、一般的に、約10nm〜約15nmの厚さで形成される。しかしながら、層の厚さは、メーカーの要件及び基板又は金属層の材料の組成に基づいて異なってもよく、元の金属層320の厚さの上部30パーセントといったように、堆積材料の上部表面で主に集中していてよい。酸窒化クロムフィルムは、クロムフィルムよりも酸素ラジカルによるエッチングにより感度があると考えられている。処理ガス中減少量の酸素を用いて、残りのクロム材料のバルクをエッチングするのに比べて、効率的に酸窒化クロム表面をエッチングすることができる。
レジスト層330Aが、ブロック220で、金属層320に堆積する。一実施形態において、レジスト層材料は、通常、低温レジスト材料であり、本明細書においては、摂氏約250度より高い温度で熱分解するポリマー材料と定義され、一例としては、特に、HOYA株式会社(Hoya Corporation)製「ZEP」が挙げられる。レジスト層330Aは、スピンコーティング又はその他方法によって金属層320に適用してもよい。レジスト材料330Aは、図3Bに示す通り、約200nm〜約600nmの厚さまで金属層320に堆積させてよい。
ブロック230で、レジスト層330Aをインプリントして、図3C〜Dに示すシーケンスに示す通り、インプリントレジスト層330Bを形成する。一実施形態において、レジスト層330Aは、鋳型390のパターン化表面392と接触する。層330Aの軟性レジスト材料は、パターン化鋳型表面392に従う。
一実施形態において、鋳型390は、通常、レジスト材料を硬化するエネルギーを透過する材料でできている。エネルギーを与えて、鋳型390と接触したままレジスト材料を硬化し、鋳型390を外すと、硬化したレジスト材料に反転したインプリント394をパターン392が残して、インプリントレジスト層330Bが形成されるようにする。インプリント394は、通常、図3Dに示す通り、複数の隆起表面396に囲まれた複数のリセス表面398を含む。リセス表面398は、同一平面(即ち、隆起表面396に対して共通の深さを有する)であっても、異なる高さで平行であってもよい。
基板122を、上述した処理チャンバ100等のエッチングチャンバに移動して、パターン化レジストマスクを形成し、ブロック240で、金属層320をエッチングする。一実施形態において、インプリントレジスト層330Bをエッチングすることにより、金属層320に開口部又はパターンが形成される。リセス表面398により画定されるインプリントレジスト層330Bの領域(以降「パターン325」と呼ぶ)がエッチングされて、金属層320の一部が露出する。これによって、図3Eに示す通り、インプリントレジスト層330Bからパターン化マスク330Cが作成される。インプリントレジスト層330Bは、好適な化学を用いて、又は後述する金属層320をエッチングするのに利用する同じ化学によりエッチングされて、パターン325の寸法を有する開口部335が形成される。インプリントレジスト層330Bと金属層320の両方をエッチングするのに同じ化学を利用すると、チャンバ設計を簡素化すると共に、必要な処理ガスの数が減り有利である。これによって、過剰のガス、ガス接続及びパージサイクルが排除されるため、コストと処理量の利点の両方が得られる。
或いは、パターン化マスク330Cは、レーザーパターン化装置又は電子ビームエミッタ等の他の放射エネルギーパターン化装置を任意で用いてパターン325を形成することにより、処理チャンバ100に入れる前に形成してもよい。このパターン325を用いて、金属層320に形成されるべきフィーチャー定義の寸法を画定する。
不透明な金属層320を、ブロック250で、処理チャンバ100においてエッチングする。金属層をエッチングして、下にある光学的に透明な基板材料を露出することにより、金属層320へ、そして、図3Fに示す通り、開口部335を拡張することにより、任意で、ARC層へ、パターン(即ち、パターン325の寸法)が転写される。
不透明金属層320の露出した部分のエッチングは、電源及び/又はバイアス源を処理チャンバ100に供給することにより、処理ガスのプラズマを生成することにより成される。金属層320(及び、一実施形態においては、インプリントレジスト層330B)をエッチングするための処理ガスは、通常、酸素含有ガス、塩素含有ガス及びハロゲン含有ガスを含む。一実施形態において、不活性ガスは処理ガスに含まれない。
酸素含有ガスは、特に、酸素(O、O又はO)、一酸化炭素(CO)又は二酸化炭素(CO)のうち少なくとも1つを含んでいてよい。一実施形態において、酸素含有ガスは酸素(O)である。酸素含有ガスは、エッチングラジカルの源を提供する。一酸化炭素(CO)及びに酸化炭素(CO)ガスを選択すると、パッシベーティングポリマー堆積物を形成する材料の源が提供されて、エッチングバイアスが改善される。
塩素含有ガスは、特に、塩素ガス(Cl)、四塩化炭素(CCl)又は塩化水素(HCl)のうち少なくとも1つを含んでいてよい。一実施形態において、塩素含有ガスはClである。塩素含有ガスを用いて、高反応性ラジカルを供給して、金属層をエッチングする。塩素含有ガスは、四塩化炭素(CCl)ガス等のエッチングラジカル及び成分の源を提供し、パッシベーティングポリマー堆積物を形成する材料の源が提供されて、エッチングバイアスが改善される。
ハロゲン含有ガスは、特に、臭化水素(HBr)、ヨウ化水素(HI)、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)又はアンモニア(NH)のうち少なくとも1つであってよい。ハロゲン含有ガスを選択すると、フォトレジストに対するクロムのエッチング選択性を増大し、エッチングバイアスを減少することができる。
一実施形態において、ハロゲン含有ガスは、臭化水素(HBr)である。臭化水素はまた、水溶液から処理へ分配したり、臭化水素酸として水性成分を有していてもよい。ハロゲン含有ガスを用いて、金属層をエッチングする反応性ラジカルと、水素の両方を供給して、フォトレジスト及び金属エッチングレートを減少し、フォトレジスト及び金属側壁をパッシベートして、オーバーエッチングを最小とし、所望の限界寸法を保って、エッチングバイアスを改善する。
塩素含有ガス及びハロゲン含有ガスは、塩素含有ガス対ハロゲン含有ガスのモル比が、約10:1〜約0.5:1、例えば、約10:1〜約0.5:1の塩素対臭化水素モル比で提供されてよい。
任意で、処理ガスは、不活性ガスを含んでいてもよい。処理ガスを含むプラズマの一部としてイオン化されると、その結果、スパッタリング種によるフィーチャーのエッチングレートが増大する。プラズマの一部としての不活性ガスの存在によってまた、活性処理ガスの解離も促される。従って、不活性ガスによって、ラジカルエッチングレートの制御が補助される。中心が早くなるように、又は中心が遅くなるように、エッチングレートを制御してもよい。不活性ガスとしては、アルゴン(Ar)、ヘリウム(He)、ネオン(Ne)、キセノン(Xe)、クリプトン(Kr)及びこれらの組み合わせが例示される。通常、アルゴンとヘリウムが使用される。用いるとき、不活性ガスは、プロセスについて、合計ガスフローの約5体積パーセント〜約40体積パーセント、例えば、約15体積パーセント〜約25体積パーセントを構成してよい。エッチング処理ガスを導入する前にプラズマを始動させてプラズマを打ち込むには、不活性ガスは、用いる処理ガスの約75体積パーセント〜約100体積パーセントを構成してよい。
不活性ガスを含む処理ガスの合計流量を、約40sccm〜約2100sccmの流量でチャンバ100へ導入して、150mm×150mm平方のフォトリソグラフィーレチクルをエッチングしてよい。酸素含有ガスは、約5sccm〜約1000sccm、例えば、約20〜50sccmの流量で処理チャンバ100に導入してよい。塩素含有ガスは、約25sccm〜約1000sccm、例えば、約150〜300sccmの流量で処理チャンバ100に導入してよい。ハロゲン含有ガスは、約0sccm〜約100sccm、例えば、約1〜5sccmの流量で処理チャンバ100に導入してよい。不活性ガスを利用するときは、約5sccm〜約100sccm、例えば、20〜45sccmの流量を与えてよい。
処理ガスの個々及び合計のガスフローは、処理チャンバ100のサイズ、処理されている基板122のサイズ、及びオペレータが望む特定のエッチングプロフィール等、数多くの処理因子に基づいて異なってよい。
通常、約15000W以下のRF電源レベルを、誘導コイルに印加して、エッチングプロセス中、処理ガスのプラズマを生成及び維持する。基板表面をエッチングするのに処理ガスの十分なプラズマを提供するには、約0ワット〜約1500ワット、例えば、約0〜800ワット又は約300〜350ワットの電力レベルが観察されている。示したRF電源レベルは、十分なエッチングラジカル及び重合ラジカルを処理ガスから生成することが観察されており、従来技術の金属エッチングプロセスに比べて、摂氏約150度以下の基板温度について、十分な低電力レベルを提供しながら、基板上に配置された露出した金属層をエッチングする。
通常、約200ワット未満のバイアス電力を基板122に印加して、基板122表面に対して、エッチングラジカルの方向性を増大する。約100ワット未満のバイアス電力をエッチングプロセスに用いてもよい。エッチングプロセス中に、エッチングラジカルの十分な方向性を提供するには、約15ワット〜20ワットの間のバイアスが観察されている。
通常、処理チャンバ圧力は、約1ミリトル〜約40ミリトルの間に維持される。一実施形態において、エッチングプロセス中、圧力は約3ミリトル〜約8ミリトルの間に維持される。
基板122はまた、処理中、摂氏約150度以下の温度に維持される。摂氏約150度以下の基板温度だと、本明細書に記載した処理ガスによるフォトリソグラフィーレチクル製造プロセス中、基板に堆積したレジスト材料等の材料の熱分解が最小になる。摂氏約20度〜摂氏約100度、例えば、摂氏約20度〜摂氏約50度の基板温度を用いて、基板表面に堆積した材料の熱分解が最小として、フォトマスクフィーチャーをエッチングしてもよい。
エッチングプロセスの例を以下に説明する。基板122をサポート部材124に配置し、本明細書に記載した処理ガスをチャンバ100に導入し、プラズマを生成又は維持して、酸素含有ガス、塩素含有ガス及びハロゲン含有ガスの処理ガスを導入し、処理ガスからプラズマを生成することにより、金属層320をエッチングする。プラズマは、RF電源を誘導コイルに適用することにより生成されて、エッチングプロセス中、処理ガスのプラズマを生成及び維持する。バイアス電力を基板サポート124に印加する。エッチングプロセスを実施して、処理ガスから形成されたプラズマを絶やすことなく用いて、インプリントレジスト層330Bのリセス領域、次に、金属層320を通してエッチングする。任意で、レジスト及び金属エッチングステップ中、処理ガス成分のフロー比を調節して変えてもよい。金属層320エッチングプロセスの終点は、発光終点制御によりモニターしてもよい。
或いは、本明細書に記載したARC材料を金属層上に形成する場合には、ARC材料は、金属層エッチングプロセス中、金属層と共に除去してもよいし、或いは、金属層をエッチングする前にエッチングプロセスにより除去してもよい。ARCエッチングプロセス及び金属層エッチングプロセスの一例については、2004年3月18日出願の米国特許出願第10/803,867号「フォトマスクエッチングのための多工程プロセス(Multi−step Proces For Etching Photomasks)」に詳述されている。
本明細書に記載したエッチングプロセスは、インプリントフィーチャーフォトレジスト層のクロム層への良好な限界寸法転写を提供する。
金属層320のエッチングが完了したら、層330Cの残りのレジスト材料を、ブロック260で、基板122から除去してよい。レジスト材料は、図3Gに示すように、酸素プラズマプロセスへの露出又はその他レジスト除去技術により除去してよい。
任意で、減衰材料を用いて、減衰相シフトフォトマスクを形成し、フォトマスクを通過する光の分解能を増大することにより、基板上に形成されたエッチングパターンの精度を増大してもよい。ケイ化モリブデン(MoSi)やその誘導体といった減衰材料を、不透明金属層320と、光学的に透明な基板表面310の間に配置してもよい。減衰材料は、光学的に透明な基板に堆積してもよいし、光学的に透明な基板の製造中、光学的に透明な基板に一体化させてもよい。例えば、減衰材料が、金属層320の堆積前に基板に配置される場合には、減衰材料は、現在パターン化された金属層320に第2のレジスト材料を堆積及びパターン化することにより形成して、ブロック270で、下にある材料を露出してもよい。第2のレジスト材料は、上述した通り、インプリント及びエッチングにより、又は従来の技術によりパターン化してよい。減衰材料の下にある材料又は、適切な場合、露出した基板そのものを、ブロック280で、かかる材料に好適なエッチングガスによりエッチングしてもよい。
ケイ素系材料等の光学的に透明な材料、及び基板122の減衰材料をエッチングする例は、2003年5月13日出願の米国特許出願第10/437,729号「フォトリソグラフィーレチクルのエッチング方法(Methods For Etching Photolithographic Reticles)」及び2002年5月21日出願の米国特許第6,391,790号に詳述されている。
上述した処理ガス組成物及び処理様式は、所望の限界寸法を備えた開口部又はパターンの制御可能なエッチングを提供する。開口部又はパターンのエッチングは、通常、本明細書に記載した処理ガスを用いることにより、異方性である。異方性プロセスは、開口部の底部に堆積した材料を、開口部の側壁の材料よりも速いレートで除去する。この結果、開口部の側壁の材料が、開口部の底部の材料よりも遅いレートで除去される。開口部の側壁を遅いレートでエッチングするエッチングプロセスは、側壁をオーバーエッチングする可能性が高くないため、エッチングされる開口部の限界寸法の維持が改善でき、エッチングバイアスが減少する。
前述したのは本発明の例示の態様に係るものであるが、本発明のその他及び更なる態様はその基本的な範囲から逸脱することなく考案してよく、その範囲は請求の範囲により決まる。
上に挙げた本発明の態様が達成され、詳細に理解できるように、上に簡単にまとめた本発明を、添付図面に図解された実施形態を参照してより具体的に説明する。
しかしながら、添付図面は本発明の代表的な実施形態を例示するだけであり、その範囲を制限するものとは考えられず、本発明は他の同様に有効な実施形態も含み得ることに留意すべきである。
エッチングチャンバの一実施形態の概略断面図である。 本発明の一実施形態による基板の処理シーケンスの一実施形態を示すフローチャートである。 本発明の他の実施形態のエッチングシーケンスを示す断面図である。
理解を促すために、図面で共通の同一の構成要素を示すのに、可能な場合は、同一の参照番号を用いている。一実施形態の特徴は、特に挙げていないが、他の実施形態にも有利に組み込まれるものと考えられる。

Claims (20)

  1. 光学的に透明な基板上に形成された金属フォトマスク層を有するレチクル上に配置されたレジスト材料の層に鋳型を配置する工程と、
    前記レチクル上の前記レジスト材料を硬化する工程と、
    前記鋳型を除去して、前記硬化レジスト材料にインプリントを残す工程と、
    処理チャンバにおいて、サポート部材に前記インプリントレチクルを配置する工程と、
    前記インプリントレジスト材料のリセス領域をエッチングして、前記処理チャンバに形成されたプラズマを用いて、前記金属フォトマスク層の部分を露出する工程と、
    前記プラズマを用いて、前記インプリントレジスト材料を通して前記金属フォトマスク層の露出した部分をエッチングする工程とを含むフォトリソグラフィーレチクルを処理する方法。
  2. 硬化工程が、
    前記鋳型を通して前記レジスト材料を硬化するエネルギーを伝達して、前記鋳型と接触したまま前記レジスト材料を硬化させる工程を含む請求項1記載の方法。
  3. 前記インプリントレジスト材料のリセス領域をエッチングする工程が、
    前記プラズマが前記処理チャンバに形成される第1のガス混合物を提供する工程を含み、前記第1のガス混合物が、酸素含有ガス、ハロゲン含有ガス及び塩素含有ガスを含む請求項1記載の方法。
  4. 前記インプリントレジスト材料のリセス領域をエッチングする工程が、
    不活性ガスを前記第1のガス混合物に提供する工程を含み、前記不活性ガスが、前記合計ガス混合物の約5〜約40体積パーセントを構成する請求項3記載の方法。
  5. 前記金属フォトマスク層の前記露出した部分をエッチングする工程が、
    前記プラズマが前記処理チャンバに形成される第2のガス混合物を提供する工程を含み、前記第2のガス混合物が、酸素含有ガス、ハロゲン含有ガス及び塩素含有ガスを含む請求項3記載の方法。
  6. 前記インプリントレジスト材料のリセス領域をエッチングし、前記金属フォトマスク層の前記露出した部分をエッチングする工程が、前記処理チャンバでイン・サイチュで実施される請求項5記載の方法。
  7. 前記エッチングされた金属フォトマスク層上にレジスト材料の第2の層を堆積する工程と、
    前記レジスト材料の第2の層をパターン化して、減衰材料を露出する工程と、
    前記レジスト材料のパターン化された第2を通して、前記減衰材料の前記露出した部分をプラズマエッチングして、位相シフトフォトマスクを形成する工程とを含む請求項1記載の方法。
  8. 前記レジスト材料の第2の層をパターン化する工程が、
    前記レジスト材料の第2の層をインプリントする工程と、
    前記レジスト材料のインプリントされた第2の層のリセス領域をエッチングして、前記減衰材料の部分を露出する工程とを含む請求項7記載の方法。
  9. 処理チャンバにおいて、サポート部材にレチクルを配置する工程であって、前記レチクルが、光学的に透明な基板上に形成された金属フォトマスク層と、前記金属フォトマスク層上に堆積したインプリントレジスト材料とを含む工程と、
    酸素含有ガス、塩素含有ガス及びハロゲン含有ガスを含む処理ガスを前記処理チャンバへ導入する工程と、
    前記処理ガスから形成されたプラズマを用いて、前記インプリントレジスト材料のリセス領域をエッチングして、前記金属フォトマスク層の部分を露出する工程と、
    前記プラズマを用いて、前記インプリントレジスト材料を通して、前記金属フォトマスク層の露出した部分をエッチングする工程とを含むリソグラフィーレチクルを処理する方法。
  10. 前記インプリントレジスト材料のリセス領域をエッチングする工程が、
    酸素含有ガス、ハロゲン含有ガス及び塩素含有ガスから前記プラズマを形成する工程を含む請求項9記載の方法。
  11. 前記インプリントレジスト材料のリセス領域をエッチングする工程が、
    不活性ガスに、酸素含有ガス、ハロゲン含有ガス及び塩素含有ガスを提供する工程を含み、前記不活性ガスが、前記プラズマを形成するのに用いる合計ガス体積の約5〜約40体積パーセントを構成する請求項10記載の方法。
  12. 前記プラズマが、不活性ガスを実質的に含まない請求項10記載の方法。
  13. 前記金属フォトマスク層の前記露出した部分をエッチングする工程が、
    酸素含有ガス、ハロゲン含有ガス及び塩素含有ガスから形成されたプラズマを形成する工程を含む請求項10記載の方法。
  14. 前記インプリントレジスト材料のリセス領域をエッチングする工程と、前記金属フォトマスク層の前記露出した部分をエッチングする工程が、前記処理チャンバでイン・サイチュで実施される請求項9記載の方法。
  15. 前記エッチングされた金属フォトマスク層上に、レジスト材料の第2の層を堆積する工程と、
    前記レジスト材料の第2の層をパターン化して、減衰材料を露出する工程と、
    前記レジスト材料のパターン化された第2を通して、前記減衰材料の前記露出した部分をプラズマエッチングして、プラズマシフトフォトマスクを形成する工程とを含む請求項9記載の方法。
  16. 前記レジスト材料の第2の層をパターン化する工程が、
    前記レジスト材料の第2の層をインプリントする工程を含む請求項15記載の方法。
  17. 光学的に透明な基板上に形成された金属フォトマスク層を有するレチクル及び金属フォトマスク層上に堆積したインプリントレジスト材料を提供する工程と、
    第1のエッチングステップにおいて、インプリントレジスト材料のリセス領域をエッチングして、金属フォトマスク層の部分を露出する工程と、
    第2のエッチングステップにおいて、インプリントレジスト材料を通して金属フォトマスク層の露出した部分をエッチングする工程とを含み、第1又は第2のエッチングステップのうち少なくとも1つが、酸素含有ガス、塩素含有ガス及びハロゲン含有ガスを含む処理ガスから形成されたプラズマを利用するフォトリソグラフィーレチクルを処理する方法。
  18. 酸素含有ガス、塩素含有ガス及びハロゲン含有ガスを含む前記処理ガスが、第1及び第2のエッチングステップの両方で利用される請求項17記載の方法。
  19. 前記第1及び第2のエッチングステップの両方で利用される前記処理ガスが、異なる比率の酸素含有ガス、塩素含有ガス及びハロゲン含有ガスを含む請求項17記載の方法。
  20. 第1及び第2のエッチングステップが、同じ処理チャンバで実施される請求項17記載の方法。
JP2007284944A 2006-11-02 2007-11-01 エッチングリアクタを用いたナノ−インプリントテンプレートのエッチング Expired - Fee Related JP5265174B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US86415106P 2006-11-02 2006-11-02
US60/864151 2006-11-02
US11/836,258 US7955516B2 (en) 2006-11-02 2007-08-09 Etching of nano-imprint templates using an etch reactor
US11/836258 2007-08-09

Publications (2)

Publication Number Publication Date
JP2008146029A true JP2008146029A (ja) 2008-06-26
JP5265174B2 JP5265174B2 (ja) 2013-08-14

Family

ID=38982789

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007284944A Expired - Fee Related JP5265174B2 (ja) 2006-11-02 2007-11-01 エッチングリアクタを用いたナノ−インプリントテンプレートのエッチング

Country Status (7)

Country Link
US (1) US7955516B2 (ja)
EP (1) EP1918776B1 (ja)
JP (1) JP5265174B2 (ja)
KR (1) KR20080040556A (ja)
CN (1) CN101174086B (ja)
SG (1) SG142213A1 (ja)
TW (1) TWI391987B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102713752A (zh) * 2010-02-05 2012-10-03 奥博杜卡特股份公司 大面积纳米图案的金属冲压复制方法与工艺
JP2018125449A (ja) * 2017-02-02 2018-08-09 株式会社日立国際電気 リソグラフィ用テンプレートの製造方法、プログラム及び基板処理装置

Families Citing this family (343)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI386304B (zh) * 2010-06-25 2013-02-21 Hon Hai Prec Ind Co Ltd 奈米壓印方法
WO2012109572A1 (en) * 2011-02-11 2012-08-16 Brookhaven Science Associates, Llc Technique for etching monolayer and multilayer materials
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US10126648B2 (en) * 2012-05-25 2018-11-13 Micro Resist Technology Gesellschaft Für Chemische Materialien Spezieller Protoresistsysteme Gmbh Composition suitable for use as a release-optimized material for nanoimprint processes and uses thereof
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9281190B2 (en) * 2013-03-13 2016-03-08 Applied Materials, Inc. Local and global reduction of critical dimension (CD) asymmetry in etch processing
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9793127B2 (en) * 2013-11-13 2017-10-17 Taiwan Semiconductor Manufacturing Company Limited Plasma generation and pulsed plasma etching
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101593601B1 (ko) * 2014-04-03 2016-02-15 한국전자통신연구원 베이스 몰드 및 몰드의 제조방법
CN103984204A (zh) * 2014-05-22 2014-08-13 苏州锦元纳米科技有限公司 一种润滑薄膜的制备方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6525567B2 (ja) * 2014-12-02 2019-06-05 キヤノン株式会社 インプリント装置及び物品の製造方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9396956B1 (en) * 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6859088B2 (ja) * 2016-12-14 2021-04-14 エイブリック株式会社 半導体装置の製造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN109659738B (zh) * 2017-10-10 2021-03-09 泰科电子(上海)有限公司 导电端子的触点保护层及其形成方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169122A1 (en) * 2018-03-02 2019-09-06 Tokyo Electron Limited Method to transfer patterns to a layer
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220326611A1 (en) * 2021-04-13 2022-10-13 Applied Materials, Inc. Nanoimprint and etch fabrication of optical devices
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0844042A (ja) * 1994-07-29 1996-02-16 Olympus Optical Co Ltd 透過率変調型フォトマスク及びその製造方法及びそれを用いた光学素子の製造方法
JPH08330288A (ja) * 1995-05-26 1996-12-13 At & T Corp ドライリソグラフィ・エッチング用プロセス
WO2001069316A1 (fr) * 2000-03-14 2001-09-20 Takashi Nishi Photomasque de regulation de l'exposition et procede de production correspondant
JP2005150335A (ja) * 2003-11-14 2005-06-09 Tdk Corp レジストパターン形成方法並びに磁気記録媒体及び磁気ヘッドの製造方法
JP2005159358A (ja) * 2003-11-21 2005-06-16 Obducat Ab ナノインプリントリソグラフィ方法および基板
JP2005203797A (ja) * 2004-01-16 2005-07-28 Lg Electron Inc ナノインプリントリソグラフィ用大面積スタンプ製作方法
WO2006035859A1 (ja) * 2004-09-30 2006-04-06 Japan Science And Technology Agency 自己組織化材料のパターニング方法、及び自己組織化材料パターニング基板とその生産方法、並びに自己組織化材料パターニング基板を用いたフォトマスク
WO2006112062A1 (ja) * 2005-03-30 2006-10-26 Zeon Corporation 樹脂型及びそれを用いた成形体の製造方法
JP2007114451A (ja) * 2005-10-20 2007-05-10 Hoya Corp マスクブランクス、および転写マスクの製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391790B1 (en) * 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
WO2001096955A2 (en) * 2000-06-15 2001-12-20 Applied Materials, Inc. A method and apparatus for etching metal layers on substrates
JP3760086B2 (ja) * 2000-07-07 2006-03-29 株式会社ルネサステクノロジ フォトマスクの製造方法
JP2002131883A (ja) * 2000-10-27 2002-05-09 Hitachi Ltd フォトマスクの製造方法およびフォトマスク
US6960413B2 (en) * 2003-03-21 2005-11-01 Applied Materials, Inc. Multi-step process for etching photomasks
JP4133580B2 (ja) 2003-05-21 2008-08-13 独立行政法人科学技術振興機構 圧電材料の加工方法
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
TWI366218B (en) 2004-06-01 2012-06-11 Semiconductor Energy Lab Method for manufacturing semiconductor device
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0844042A (ja) * 1994-07-29 1996-02-16 Olympus Optical Co Ltd 透過率変調型フォトマスク及びその製造方法及びそれを用いた光学素子の製造方法
JPH08330288A (ja) * 1995-05-26 1996-12-13 At & T Corp ドライリソグラフィ・エッチング用プロセス
WO2001069316A1 (fr) * 2000-03-14 2001-09-20 Takashi Nishi Photomasque de regulation de l'exposition et procede de production correspondant
JP2005150335A (ja) * 2003-11-14 2005-06-09 Tdk Corp レジストパターン形成方法並びに磁気記録媒体及び磁気ヘッドの製造方法
JP2005159358A (ja) * 2003-11-21 2005-06-16 Obducat Ab ナノインプリントリソグラフィ方法および基板
JP2005203797A (ja) * 2004-01-16 2005-07-28 Lg Electron Inc ナノインプリントリソグラフィ用大面積スタンプ製作方法
WO2006035859A1 (ja) * 2004-09-30 2006-04-06 Japan Science And Technology Agency 自己組織化材料のパターニング方法、及び自己組織化材料パターニング基板とその生産方法、並びに自己組織化材料パターニング基板を用いたフォトマスク
WO2006112062A1 (ja) * 2005-03-30 2006-10-26 Zeon Corporation 樹脂型及びそれを用いた成形体の製造方法
JP2007114451A (ja) * 2005-10-20 2007-05-10 Hoya Corp マスクブランクス、および転写マスクの製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102713752A (zh) * 2010-02-05 2012-10-03 奥博杜卡特股份公司 大面积纳米图案的金属冲压复制方法与工艺
JP2018125449A (ja) * 2017-02-02 2018-08-09 株式会社日立国際電気 リソグラフィ用テンプレートの製造方法、プログラム及び基板処理装置

Also Published As

Publication number Publication date
TWI391987B (zh) 2013-04-01
SG142213A1 (en) 2008-05-28
US20080105649A1 (en) 2008-05-08
CN101174086B (zh) 2014-02-12
JP5265174B2 (ja) 2013-08-14
EP1918776A1 (en) 2008-05-07
US7955516B2 (en) 2011-06-07
CN101174086A (zh) 2008-05-07
EP1918776B1 (en) 2013-04-24
KR20080040556A (ko) 2008-05-08
TW200822185A (en) 2008-05-16

Similar Documents

Publication Publication Date Title
JP5265174B2 (ja) エッチングリアクタを用いたナノ−インプリントテンプレートのエッチング
US7521000B2 (en) Process for etching photomasks
JP5484666B2 (ja) マスクエッチングプロセス
US7371485B2 (en) Multi-step process for etching photomasks
KR100822294B1 (ko) 포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법
KR100822276B1 (ko) 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법
US20060166107A1 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US20040072081A1 (en) Methods for etching photolithographic reticles
JP2007096295A (ja) フォトマスクを製造するのに適した炭素ハードマスクを介してクロム層をプラズマエッチングする方法
JP2006215552A5 (ja)
US20060154151A1 (en) Method for quartz photomask plasma etching
US20020155725A1 (en) Method and apparatus for etching photomasks
US20030003374A1 (en) Etch process for photolithographic reticle manufacturing with improved etch bias
JP2008070882A (ja) 位相シフトフォトマスク及びその製造方法
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control
US20040000535A1 (en) Process for etching photomasks

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120104

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120605

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120903

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120906

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121004

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121010

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121102

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121204

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130409

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130501

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees