KR20080040556A - 에칭 반응기를 이용한 나노-임프린트 템플릿의 에칭 - Google Patents

에칭 반응기를 이용한 나노-임프린트 템플릿의 에칭 Download PDF

Info

Publication number
KR20080040556A
KR20080040556A KR1020070087537A KR20070087537A KR20080040556A KR 20080040556 A KR20080040556 A KR 20080040556A KR 1020070087537 A KR1020070087537 A KR 1020070087537A KR 20070087537 A KR20070087537 A KR 20070087537A KR 20080040556 A KR20080040556 A KR 20080040556A
Authority
KR
South Korea
Prior art keywords
etching
resist material
processing
containing gas
layer
Prior art date
Application number
KR1020070087537A
Other languages
English (en)
Inventor
마드하비 알. 찬드라츄드
아제이 쿠마르
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080040556A publication Critical patent/KR20080040556A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

임프린트된 레지스트 물질을 이용하여 금속 층을 에칭하는 방법이 제공된다. 일 실시예에서, 포토리소그래픽 레티클을 프로세싱하는 방법이: 광학적으로 투명한 기판상에 형성된 금속 포토마스크 층 및 상기 금속 포토마스크 층 상에 도포되고 임프린트된 레지스트 물질을 가지는 레티클을 제공하는 단계; 제 1 에칭 단계 중에 상기 금속 포토마스크 층의 일부분을 노출시키기 위해 상기 임프린트된 레지스트 물질의 리세스 영역을 에칭하는 단계; 및 제 2 에칭 단계 중에 상기 임프린트된 레지스트 물질을 통해 상기 금속 포토마스크 층의 노출된 부분들을 에칭하는 단계를 포함하며; 상기 제 1 및 제 2 에칭 단계들 중 하나 이상은 산소 함유 가스, 염소 함유 가스, 및 할로겐 함유 가스를 포함하는 프로세싱 가스로부터 형성된 플라즈마를 이용한다. 일 실시예에서, 상기 프로세스 가스는 제 1 및 제 2 에칭 단계 모두에서 이용된다. 또 다른 실시예에서, 제 1 및 제 2 에칭 단계들이 동일한 프로세싱 챔버내에서 실시된다.

Description

에칭 반응기를 이용한 나노-임프린트 템플릿의 에칭{ETCHING OF NANO-IMPRINT TEMPLATES USING AN ETCH REACTOR}
본 발명은 나노-임프린트 기술을 이용한 스트럭쳐(structures) 제조와 관련된다.
UV 나노-임프린트 기술이 마이크로-스트럭쳐 및 나노-스트럭쳐의 제조에 이용된다. 나노-임프린트는 기판상에 스핀-코팅된 UV 경화성 레지스트내로 몰드를 압착하는 기계적 복제(replication) 기술이다. 임프린트된 영역내에서 몰드를 통해 레지스트를 UV 조사(照射)함으로써 레지스트가 폴리머화되고 경화된다. 이어서, 몰드가 제거되면 레지스트내에 형성된 패턴의 역전된(inverted) 3차원 복제물이 남게 된다. 임프린트된 복제물의 얇은 부분이 제거되어 레지스트 층내에 개구부를 형성하며, 그에 따라 레지스트 하부의 하나 이상의 층을 에칭하는데 이용되는 마스크를 형성한다. 이러한 기술은 10 nm 간격까지의 고속의 높은 정밀도 프린팅을 가능하게 하고 또 넓은 범위에 걸친 패턴 충실도(fidelity)도 가능하게 한다.
그러나, 대부분의 종래 에칭 프로세스, 예를 들어 등방적으로(isotropically) 에칭하는 경향이 있는 습식 에칭은 작은 패턴을 하부의 물질로 확실하게 전사하기에는 적합하지 않다. 특히, 언더컷(undercut) 현상은 균일하게 이격되지 못하고 또 원하는 직선형의 수직 측벽도 가지지 못하는 에칭 이후의 하부 물질상의 패턴화된 피쳐를 생성할 수 있으며, 그에 따라 피쳐의 임계 치수(critical dimensions; CD)의 손실(loss)을 초래할 수 있다. 또한, 피쳐의 등방 에칭은 고종횡비의 피쳐의 측벽을 과다에칭(overetch)할 수 있고, 그에 따라 피쳐의 임계 치수의 손실을 초래할 수 있다
건식 에칭 프로세싱 또는 건식 에칭으로 알려진 플라즈마 에칭 프로세스는 습식 에칭 프로세스 보다 더 비등방적인 에칭을 제공한다. 건식 에칭 프로세스는 보다 적은 언더컷을 나타내는 것으로 밝혀졌고 또 종래의 리소그래픽 기술을 이용하여 형성된 포토마스크 피쳐의 임계 치수를 보다 양호하게 유지하여 보다 직선형의 측벽 및 보다 평평한 바닥을 형성하는 것으로 밝혀졌다. 그러나, 건식 에칭은 하부 층의 임계 치수를 규정하기 위해 이용되는 레지스트 물질에 형성된 개구부나 패턴의 측벽을 부정확하게 또는 과다에칭할 수 있다. 레지스트 물질의 과다한 측방향 제거는 패턴화된 레지스트 피쳐의 임계 치수의 손실을 초래하며, 이는 패턴화된 레지스트에 의해 규정된 하부 층내에 형성된 피쳐의 임계 치수의 손실로 전가될 것이다. 또한, 부정확한 에칭은 필수적인 임계 치수를 제공할 수 있을 정도로 충분히 피쳐를 에칭하지 못할 것이다. 임계 치수까지 피쳐를 충분히 에칭하지 못하는 것을 임계 치수의 "이득(gain)"이라고 한다. 금속 층내의 임계 치수의 손실 또는 이득을 "에칭 바이어스(bias)" 또는 "CD 바이어스"라고 한다.
임계 치수를 제어하지 못하는 것이 대부분의 에칭 분야에서의 관심사이지만, 특히 포토마스크 제조에 있어서 더욱 관심의 대상이 된다. 포토마스크 레티클을 포함하는 하부 층내에 형성된 패턴의 임계 치수의 손실 또는 이득은 통과하는 빛에 치명적인 영향을 미칠 수 있고 수 많은 패턴닝 결함을 생성할 수 있고 이어서 포토리소그래픽 레티클에 의해 패턴화된 기판내에 에칭 결함을 생성할 수 있다. 포토마스크의 임계 치수의 손실 또는 이득은 미크론-이하의 피쳐의 고종횡비 에칭에 대한 불충분한 포토리소그래픽 성능을 초래할 수 있으며, 임계 치수의 이득이나 손실이 너무 크면, 포토리소그래픽 레티클 또는 후속하는 에칭된 소자의 불량을 초래할 수 있다. 나노-임프린트 기술이 매우 작은 개구를 형성할 수 있기 때문에, 종래의 에칭 기술은 엄격한 포토마스크 제조에서 허용되는 정도로 임프린트된 레지스트 하부의 층으로 패턴을 효과적으로 전사하지 못한다.
그에 따라, 나노-임프린트 기술을 이용하는 스트럭쳐(structure) 제조에 적합한 프로세스 및 화학물질이 요구되고 있다.
임프린트된 레지스트 물질을 이용하여 금속 층을 에칭하는 방법이 제공된다. 본 명세서에 기재된 방법은, 예를 들어, 특히 포토마스크 레티클 제조에 적합하다.
일 실시예에서, 포토리소그래픽 레티클을 프로세싱하는 방법이 제공되며, 그 방법은 광학적으로 투명한 기판상에 형성된 금속 포토마스크 층 및 그 금속 포토마스크 층상에 도포된 임프린트 레지스트 물질을 가지는 레티클을 제공하는 단계; 제 1 에칭 단계에서, 임프린트된 물질의 리세스(recessed) 영역을 에칭하여 금속 포토마스크 층 일부분을 노출시키는 단계; 제 2 에칭 단계에서, 임프린트된 레지스트 물질을 통해 금속 포토마스크 층의 노출된 부분들을 에칭하는 단계를 포함하며; 상기 제 1 및 제 2 에칭 단계 들 중 하나 이상은 산소 함유 가스, 염소 함유 가스 및 할로겐 함유 가스를 포함하는 프로세싱 가스로부터 형성된 플라즈마를 이용한다.
다른 실시예에서, 제 1 및 제 2 에칭 단계 모두에서 이용되는 프로세스 가스가 산소 함유 가스, 염소 함유 가스 및 할로겐 함유 가스를 포함한다.
또 다른 실시예에서, 제 1 및 제 2 에칭 단계 모두에서 이용되는 프로세스 가스가 다른 비율의 산소 함유 가스, 염소 함유 가스 및 할로겐 함유 가스를 포함한다.
또 다른 실시예에서, 제 1 및 제 2 에칭 단계가 동일한 프로세싱 챔버내에서 실시된다.
본 발명의 전술한 측면들을 보다 구체적으로 이해할 수 있도록, 첨부 도면과 관련된 실시예들을 참조하여, 위에서 간단하게 설명한 본 발명을 보다 구체적으로 설명한다.
그러나, 첨부 도면들은 단지 본 발명의 전형적인 실시예들을 도시한 것이며, 따라서 본 발명의 범위를 제한하는 것으로 간주되지 않아야 할 것이며, 본 발명은 다른 균등한 실시예들도 포함할 수 있다는 것을 이해할 것이다.
이해를 돕기 위해, 도면에서, 동일한 구성요소에 대해서는 가급적 동일한 도면 부호로 표시하였다. 추가적으로 인용하여 설명하지 않더라도, 일 실시예의 특징들은 다른 실시예들에 유리한 방향으로 포함될 수 있을 것이다.
유도 결합 플라즈마 에칭 챔버를 참조하여, 본 발명의 특징들을 이하에서 설명한다. 적절한 유도 결합 플라즈마 에칭 챔버로는 Tetra TM 계열 포토마스크 에칭 챔버, 또는 선택적으로, 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc. 로부터 입수 가능한 Decoupled Plasma Source (DPS ITM, DPS IITM 및 DPS PlusTM)가 포함된다.
예를 들어, 용량 결합 병렬 플레이트 챔버, 자기적 강화형 이온 에칭 챔버, 그리고 다른 디자인의 유도 결합 플라즈마 에칭 챔버를 포함하는 다른 프로세스 챔버들도 본 발명의 프로세스 실시에 이용될 수 있을 것이다. 그러한 적합한 프로세싱 챔버의 예가 1999년 6월 3일자로 출원된 미국 특허 출원 제 09/325,026 호, 2006년 10월 30일자로 출원된 미국 특허 출원 제 11/554,502 호, 2006년 10월 30일 자로 출원된 미국 특허 출원 제 11/554,495 호에 개시되어 있다. TetraTM 포토마스크 에칭 챔버를 이용하여 프로세스들을 유리하게 실시할 수 있지만, 그러한 프로세싱 챔버에 대한 설명은 단지 예시적인 것으로서, 본 발명의 범위를 제한하거나 한정하거나 판단하는 것은 아니다. 본 발명은 다른 제조업자들이 제조한 프로세싱 챔버들을 포함하는 여러가지 다른 프로세싱 챔버에서도 바람직하게 실시될 수 있을 것이다.
도 1은 프로세싱 챔버(100)의 일 실시예의 단면을 도시하며, 상기 프로세싱 챔버는 기판 받침대(124)를 구비하는 프로세스 챔버 본체(102), 및 제어부(146)를 포함한다. 챔버 본체(102)는 실질적으로 평평한 유전체 천장(108)을 지지하는 전도성 벽(104)을 구비한다. 프로세싱 챔버(100)의 다른 실시예는 다른 타입의 천장, 예를 들어 돔-형상의 천장을 포함할 수도 있을 것이다. 안테나(110)가 천장(108)의 위쪽에 배치된다. 안테나(110)는 선택적으로 제어될 수 있는 하나 이상의 유도 코일 요소를 포함한다[도 1에는 두 개의 동일-축선적 요소(110a 및 110b)가 도시되어 있다]. 안테나(110)는 제 1 매칭 네트워크(114)를 통해 플라즈마 전력 공급원(112)에 결합된다. 통상적으로, 플라즈마 전력 공급원(112)은 약 50 kHz 내지 약 13.56 MHz 범위의 튜닝가능한 주파수에서 약 3000 Watts(W)까지 공급할 수 있다.
기판 받침대(음극)(124)는 제 2 매칭 네트워크(142)를 통해 바이어싱 전력 공급원(140)에 결합된다. 바이어싱 공급원(140)은 약 1 내지 약 10 kHz의 튜닝가 능한 펄스 주파수에서 약 영(zero) 내지 약 600 W를 제공한다. 바이어싱 공급원(140)은 펄스화된 RF 전력 출력을 제공한다. 그 대신에, 바이어싱 공급원(140)이 DC 전력 출력을 제공할 수도 있을 것이다. 또한, 공급원(140)이 일정한 DC 및/또는 RF 전력 출력을 제공할 수도 있을 것이다.
일 실시예에서, 기판 지지 받침대(124)가 정전기 척(chuck; 160)을 포함한다. 정전기 척(160)은 하나 이상의 클램핑 전극(132)을 포함하고, 척 전력 공급원(166)에 의해 제어된다. 다른 실시예에서, 기판 받침대(124)가 서셉터 클램프 링, 진공 척, 기계적 척, 등과 같은 기판 유지 메카니즘을 포함할 수 있다.
가스 패널(120)이 프로세싱 챔버(100)에 결합되어 프로세스 가스 및/또는 기타 가스를 프로세스 챔버 본체(102) 내부로 제공할 수 있다. 도 1에 도시된 실시예에서, 가스 패널(120)은 챔버 본체(102)의 측벽(104)내의 채널(118)에 형성된 하나 이상의 유입구(116)에 결합된다. 하나 이상의 유입구(116)가 다른 위치, 예를 들어 프로세싱 챔버(100)의 천장(108)에 제공될 수도 있을 것이다.
프로세싱 챔버(100)내의 압력은 스로틀 밸브(162) 및 진공 펌프(164)를 이용하여 제어된다. 진공 펌프(164) 및 스로틀 밸브(162)는 챔버 압력을 약 1 내지 약 20 mTorr로 유지할 수 있다.
벽(104)의 온도는 벽(104)을 통해 연장되는 액체-함유 도관(도시 안 됨)에 의해 제어될 수 있을 것이다. 일반적으로, 벽 온도는 약 65℃로 유지된다. 통상적으로, 챔버 벽(104)은 금속(예를 들어, 알루미늄, 스테인리스 스틸 등)으로 제조되고, 전기 접지(106)에 연결된다. 프로세싱 챔버(100)는 또한 통상적인 프로세스 제어 시스템, 내부 진단 장치, 종료 시점 결정 장치 등을 포함할 것이다. 그러한 시스템들은 지원 시스템(154)으로서 집합적으로 도시되어 있다.
레티클 어댑터(adapter; 182)를 이용하여 기판(122)(예를 들어, 레티클 또는 기타 공작물)을 기판 지지 받침대(124)상에 고정한다. 일반적으로, 레티클 어댑터(182)는 받침대(124)의 상부 표면[예를 들어, 정전기 척(160)]을 덮도록 가공된 하부 부분(184) 및 기판(122)을 유지할 수 있는 크기 및 형상을 가지는 개구부(188)를 구비하는 상부 부분(186)을 포함한다. 일반적으로, 개구부(188)는 받침대(124)에 대해 실질적으로 중심에 위치된다. 일반적으로, 어댑터(182)는 폴리이미드 세라믹 또는 석영과 같이 에칭 및 높은 온도에 대해 내성을 가지는 물질로 이루어진 단일체로 형성된다. 적절한 레티클 어댑터가 2001년 6월 26일자로 허여된 미국 특허 제 6,251,217 호에 개시되어 있다. 엣지(edge) 링(126)이 어댑터(182)를 덮거나 및/또는 받침대(124)에 고정할 수 있다.
승강 메카니즘(138)을 이용하여 어댑터(182) 및 그에 따른 기판(122)을 기판 지지 받침대(124)상으로 또는 그 받침대로부터 하강 또는 상승시킬 수 있다. 일반적으로, 승강 메카니즘(138)은 각 가이드 홀(136)을 통해 이동되는 다수의 승강 핀[하나의 승강 핀(130)이 도시되어 있다]을 포함한다.
작동 중에, 기판(122)의 온도는 기판 받침대(124)의 온도를 안정화시킴으로써 제어된다. 일 실시예에서, 기판 지지 받침대(124)는 히터(144) 및 선택적인 히트 싱크(heat sink; 128)를 포함한다. 히터(144)는 열 전달 유체가 통과하도록 구성된 하나 이상의 유체 도관일 수 있다. 다른 실시예에서, 히터(144)는 히터 전력 공급부(168)에 의해 조정되는 하나 이상의 가열 요소(134)를 포함할 수 있다. 선택적으로, 배경(backside) 가스[예를 들어, 헬륨(He)]가 가스 공급원(156)으로부터 가스 도관(158)을 통해 기판(122) 아래쪽의 받침대 표면에 형성된 채널로 공급된다. 배경 가스는 받침대(124)와 기판(122) 사이의 열 전달을 촉진하기 위해 사용된다. 프로세싱 중에, 받침대(124)는 매립된 히터(144)에 의해 안정-상태 온도로 가열될 수 있으며, 그러한 받침대는 헬륨 배경 가스와 조합되어 기판(122)의 균일한 가열을 촉진할 수 있다.
제어부(146)는 중앙처리유닛(CPU; 150), 메모리(148), CPU(150)을 위한 지원 회로(152)를 포함하고, 이하에서 보다 구체적으로 설명하는 바와 같이, 에칭 프로세스의 제어와 같은 프로세싱 챔버(100)의 부품들의 제어를 돕는다. 제어부(146)는 여러 챔버들 및 하위-프로세서(sub-processor)를 제어하기 위한 산업적 셋팅에 이용될 수 있는 하나의 임의 형태의 범용 컴퓨터 프로세서일 수 있다. CPU(150)의 메모리(148)는 해당 지역 또는 이격지의 램(RAM), 롬(ROM), 플로피 디스크, 하드 디스크 또는 다른 형태의 디지털 저장장치와 같은 하나 이상의 용이하게 이용할 수 있는 메모리가 될 수 있다. 지원 회로(152)는 종래의 방식으로 프로세서를 지원하기 위해 CPU(150)에 연결된다. 이러한 회로는 캐시(cache), 전력 공급원, 클록 회로, 입력/출력 회로 및 하위 시스템(subsystem) 등을 포함한다. 일반적으로, 본 발명의 방법은 소프트웨어 루틴으로서 메모리(148) 또는 CPU(150)에 접근할 수 있는 컴퓨터-판독가능한 기타 매체에 저장된다. 그 대신에, 그러한 소프트웨어 루틴은 CPU(150)에 의해 제어되는 하드웨어로부터 원격지에 위치된 제 2 CPU(도시 안 됨)에 의해 저장 및/또는 실행될 수 있다.
이하의 프로세스 설명이 본 명세서에 기재된 바와 같이 프로세싱 가스를 이용하여 기판을 에칭하는 하나의 실시예에 관한 것이지만, 본 발명은 200 mm 및/또는 300mm 기판 프로세싱에 대한 포토리소그래픽 레티클과 같은 다른 기판 크기에 대해서 그리고 다른 에칭 챔버와 같은 다른 장치에서 이러한 프로세스를 실시하기 위해서 본 명세서에 기재된 범위를 벗어난 프로세싱 파라미터들을 이용하는 것을 포함한다.
예시적인 에칭 프로세스
이하의 설명이 포토리소그래픽 레티클 제조에서의 포토마스크로서 크롬 및 크롬 산질화물(oxynitride)과 같은 금속 층을 에칭하기 위한 프로세스 시퀀스(sequence)의 일 실시예에 관한 것이지만, 상기 에칭 가스들을 이용하여 반도체 및 포토리소그래픽 레티클 제조시에 기판상에 형성된 기타 물질 층을 에칭할 수도 있을 것이다.
일반적으로, 포토리소그래픽 레티클은 광학적으로 투명한 기판상에 도포된 포토마스크고 하는 불투명한 층을 포함한다. 불투명한 층은 금속 층, 예를 들어, 크롬, 또는 포토마스크로서 이용하기에 적합하다고 소위 당업계에 공지된 또는 공지되지 않은 다른 물질을 포함할 수 있다. 예를 들어, 본 발명에서, 불투명한 층이 비-금속 유전체 물질을 포함할 수 있다. 기판(122)의 광학적으로 투명한 물질은, 예를 들어, 약 300 나노미터(nm) 이하의 파장을 가지는 광에 대해, 예를 들어 248 nm 내지 193 nm 파장의 자외선 광에 대해 투명한 물질을 포함하는 것으로 넓게 규정된다.
도 2는 임프린트된 레지스트 층을 통해 금속 층을 에칭하는데 적합한 에칭 프로세스(200)의 하나의 프로세스 시퀀스의 일 실시예에 대한 흐름도이다. 그러한 흐름도는 설명을 위한 것으로서 본 발명의 범위를 제한하는 것이 아니다. 도 3a 내지 도 3g는 포토마스크 형성 프로세스 중에 여러 지점에서의 포토리소그래픽 레티클의 구성을 도시하고 또 도 2에 도시되고 전술한 바와 같은 프로세스을 추가적으로 도시한다.
통상적으로 광학적 품질의 석영, 용융 실리카 물질, 몰리브덴 실리사이드(MoSi), 몰리브덴 실리콘 산질화물(MoSixNyOz), 칼슘 플루오라이드, 알루미나, 사파이어, 또는 그 조합과 같은 광학적으로 투명한 물질(310)을 포함하는 기판(122)이 도 1의 프로세싱 챔버(100)와 같은 블록(210)에서의 프로세싱 챔버로 제공된다.
이어서, 기판(122)은 도 3a에 도시된 바와 같이 기판 물질(310)상에 도포되고 통상적으로 크롬을 포함하는 금속 포토마스크 층과 같은 불투명 금속 층(320)을 가진다. 크롬 층은 물리기상증착(PVD) 또는 화학기상증착(CVD) 기술과 같이 당업계에 공지된 종래의 방법에 의해 도포될 수 있다. 통상적으로, 금속 층(320)은 약 50 내지 약 100 nm의 두께로 부착되나; 그 금속 층(320)의 두께는 제조업자의 요건 및 기판이나 금속 층의 물질 조성에 따라 달라질 수 있을 것이다.
선택적으로, 반사방지-코팅(ARC 또는 ARC 층)이 도포된 금속 층(320)상에 형성되거나 그 일부를 구성할 수 있다. ARC 층은 불투명한 층에 형성되는 패터닝 피 쳐의 포토리소그래픽 정밀도를 개선하는 것으로 믿어진다. ARC 층은 예를 들어 크롬 산질화물과 같은 금속 산질화물 층을 형성하기 위해 비금속 오염물질 또는 불순물을 포함하는 금속 층이 될 수 있다. 크롬 산질화물은 금속 층의 부착 중에 형성될 수 있고 또는 금속 층을 산화 및 질화 분위기와 같은 적절한 대기중에 노출시킴으로써 형성될 수 있다. 그 대신에, 크롬 산질화물 층이 물리기상증착(PVD) 또는 화학기상증착(CVD) 기술과 같이 당업계에 공지된 종래의 방법에 의해 부착될 수 있다. 금속 산질화물 층은 금속 층(320)의 전체 두께의 상부 25 퍼센트까지 차지할 수 있을 것이다.
통상적으로, 광학적 ARC 층은 약 10 nm 내지 약 15 nm의 두께로 형성되나; 그 층의 두께는 기판 또는 금속 층을 구성하는 물질의 조성 및 제조업자의 요건에 따라 달라질 수 있을 것이고, 최초 금속 층(320) 두께의 상부 30퍼센트와 같이 부착된 물질의 상부 표면내에 주로 집중될 것이다. 크롬 산질화물 필름은 크롬 필름 보다 산소 라디칼과의 에칭에 보다 민감한 것으로 믿어진다. 프로세싱 가스내의 감소된 산소량을 이용하여 잔류 크롬 물질의 벌크(bulk)를 에칭하는 것에 비해 크롬 산질화물 표면을 보다 효과적으로 에칭할 수 있을 것이다.
블록(220)에서 레지스트 층(330A)이 금속 층(320)상에 도포된다. 일 실시예에서, 레지스트 물질은 일반적으로 저온 레지스트 물질이며, 그러한 저온 레지스트 물질은 약 250℃ 이상의 온도에서 열적으로 열화(劣化)되는 물질, 예를 들어 Hoya Corporation 등에 의해 제조된 "ZEP"를 포함하는 것으로 규정된다. 레지스트 층(330A)은 스핀-코팅 또는 기타 방법을 통해 금속 층(320)에 도포될 수 있다. 도 3b에 도시된 바와 같이, 레지스트 물질(330A)은 약 200 nm 내지 약 600 nm 두께로 금속 층(320)상에 부착될 수 있다.
블록(230)에서, 도 3c 내지 도 3d에 도시된 시퀀스에서 볼 수 있는 바와 같이, 레지스트 층(330A)이 임프린트되어 임프린트된 레지스트 층(330B)을 형성한다. 일 실시예에서, 레지스트 층(330A)이 몰드(390)의 패턴화된 표면(392)에 접촉된다. 층(330A)의 연질의 레지스트 물질이 패턴화된 몰드 표면(392)에 정합(conform)된다.
일 실시예에서, 일반적으로, 몰드(390)는 레지스트 물질을 경화하는 에너지를 투과할 수 있는 물질로 제조된다. 에너지가 제공되어 몰드(390)와 접촉중인 레지스트 물질을 경화함으로써, 몰드(390)가 제거되었을 때, 경화된 레지스트 물질상에 역전된 임프린트(394)가 남아 임프린트된 레지스트 층(330B)을 형성한다. 일반적으로, 도 3d에 도시된 바와 같이, 임프린트(394)는 다수의 상승된 표면(396)에 의해 둘러싸인 다수의 리세스 표면(398)을 포함한다. 상기 리세스 표면(398)은 서로 동일한 평면상에 있거나(즉, 상승된 표면(396)에 대해 동일한 상대적인 깊이를 가진다) 서로 상이한 높이에서 서로 평행할 수 있다.
이어서, 블록(240)에서, 기판(122)이 전술한 프로세싱 챔버(100)와 같은 에칭 챔버내로 이송되며, 패턴화된 레지스트 마스크가 형성되고 금속 층(320)이 에칭된다. 일 실시예에서, 금속 층(320)내의 개구부 또는 패턴은 임프린트된 레지스트 층(330B)을 에칭함으로써 형성된다. 도 3e에 도시된 바와 같이, 리세스 표면(398)에 의해 형성된 임프린트된 레지스트 층(330B)의 영역[이하, "패턴(325)"이라고 한 다]들이 금속 층(320)의 노출된 부분을 통해 에칭되며, 그에 따라 임프린트된 레지스트 층(330B)으로부터 패턴화된 마스크(330C)가 생성된다. 임프린트된 레지스트 층(330B)은 임의의 적절한 화학물질을 이용하여 또는 이하에서 설명하는 금속 층(320) 에칭에 이용되는 것과 동일한 화학물질을 이용하여 에칭될 수 있으며, 그에 따라 패턴(325)의 치수를 가지는 개구부(335)를 형성할 수 있다. 임프린트된 레지스트 층(330B)과 금속 층(320) 모두를 에칭하는데 있어서 동일한 화학물질을 이용하는 것은 필요한 프로세스 가스의 수를 줄일 수 있고 또 챔버 디자인을 단순화할 수 있다. 이는, 여분의 가스, 가스 연결부들 및 퍼지(purge) 사이클들을 생략할 수 있어, 비용 및 생산량에 있어서 이점을 제공할 수 있을 것이다.
그 대신에, 프로세싱 챔버(100)내에 배치하기에 앞서서, 금속 층(320)에 형성되는 피쳐 데피니션(definition)의 치수를 규정하는데 이용되는 패턴(325)을 형성하기 위해, 레이저 패터닝 장치 또는 전자 비임 에미터(emitter)와 같은 기타 복사 에너지 패터닝 장치를 이용하여 패턴화된 마스크(330C)를 광학적으로 형성할 수 있을 것이다.
이어서, 블록(250)에서, 불투명한 금속 층(320)이 프로세싱 챔버(100)내에서 에칭된다. 도 3f에 도시된 바와 같이, 금속 층을 에칭하여 하부의 광학적으로 투명한 기판 물질 및 선택적으로 ARC 층을 노출시킴으로써 그리고 개구부(335)를 연장시킴으로써, 패턴들[즉, 패턴(325)의 치수]이 금속 층(320)으로 전사된다.
불투명한 금속 층(320)의 노출된 부분의 에칭은 프로세싱 챔버로 바이어스 전력 및/또는 소오스(source) 전력을 공급함으로써 프로세싱 가스의 플라즈마를 생 성하여 이루어진다. 일반적으로, 금속 층(320)[그리고, 일 실시예에서, 임프린트된 레지스트 층(330B)]을 에칭하기 위한 프로세싱 가스는 산소 함유 가스, 염소 함유 가스 및 할로겐 함유 가스를 포함한다. 일 실시예에서, 불활성 가스는 프로세싱 가스에 포함되지 않는다.
산소 함유 가스는 산소(O, O2, 또는 O3), 일산화탄소(CO), 이산화탄소(CO2) 등 중 하나 이상을 포함할 수 있다. 일 실시예에서, 산소 함유 가스는 산소(O2)이다. 산소 함유 가스는 에칭 라디칼의 소오스를 제공한다. 일산화탄소(CO) 및 이산화탄소(CO2) 가스가 선택되었을 때, 그 가스들은 에칭 바이어스를 개선할 수 있는 부동태화 폴리머 부착물을 형성하기 위한 물질 소오스를 제공할 수 있다.
염소 함유 가스는 염소 가스(Cl2), 카본 테트라클로라이드(CCl4), 하이드로젠 클로라이드(HCl) 중 하나 이상을 포함하는 그룹으로부터 선택될 수 있다. 일 실시예에서, 염소 함유 가스는 Cl2 이다. 염소 함유 가스는 금속 층을 에칭하기 위한 높은 반응성 라디칼을 공급하는데 이용된다. 카본 테트라클로라이드(CCl4) 가스와 같은 염소 함유 가스는 에칭 라디칼 및 성분의 공급원을 제공하며, 에칭 바이어스를 개전할 수 있는 부동태화 폴리머 부착물을 형성하는 물질의 공급원을 제공할 수도 있다.
포토레지스트에 대한 크롬의 에칭 선택비(selectivity)를 높이기 위해서, 그리고 에칭 바이어스를 감소시키기 위해서, 할로겐 함유 가스가 하이드로젠 브로마 이드(HBr), 하이드로젠 아이오다이드(HI), 트리플루오로메탄(CHF3), 설퍼 헥사플루오라이드(SF6), 헥사플루오로에탄(C2F6) 또는 암모니아(NH3) 중 하나 이상일 수 있다.
일 실시예에서, 할로겐 함유 가스가 하이드로젠 보로마이드(HBr)이다. 프로세싱을 위해 하이드로젠 보로마이드가 수성 용액으로부터 공급될 수 있고, 또는 하이드로보로믹 산으로서의 수성 성분을 가질 수도 있다. 포토레지스트 및 금속 에칭 속도를 감소시키고 포토레지스트 및 금속 측벽을 부동태화시켜 과다에칭을 최소화할 수 있고 원하는 임계 치수를 유지할 수 있으며 에칭 바이어스를 개선할 수 있는 금속 층을 에칭하기 위한 반응성 라디칼 및 하이드로젠을 공급하기 위해 무-염소 할로겐 함유 가스를 이용할 수도 있을 것이다.
염소 함유 가스 및 무-염소 할로겐 함유 가스가 이용되는 경우, 그 염소 함유 가스 및 무-염소 할로겐 함유 가스는 염소 함유 가스 대 무-염소 할로겐 함유 가스의 몰 비율(molar ratio)이 약 10:1 내지 약 0.5:1, 예를 들어 염소 대 하이드로젠 보로마이드 몰 비율이 약 10:1 내지 약 0.5:1이 되도록 제공된다.
선택적으로, 프로세싱 가스는 불활성 가스를 포함할 수 있고, 그러한 불활성 가스는 프로세싱 가스를 포함하는 플라즈마의 일부로서 이온화되었을 때 스퍼터링 종(species)을 초래하여 피쳐의 에칭 속도를 높인다. 플라즈마의 일부로서의 불활성 가스의 존재는 또한 활성 프로세싱 가스의 분해를 촉진한다. 결과적으로, 불활성 가스는 라디칼 에칭 속도 제어를 돕는다. 에칭 속도는 센터 패스트(center fast; 중간 부분이 빠른) 또는 센터 슬로우(center slow)가 되도록 제어될 수 있다. 불활성 가스의 예를 들면 아르곤(Ar), 헬륨(He), 네온(Ne), 크세논(Xe), 크립톤(Kr), 및 그 조합을 포함하며, 일반적으로는 아르곤 및 헬륨이 주로 이용된다. 불활성 가스가 이용되는 경우에, 그 불활성 가스는 프로세스에 대한 총 가스 유동의 약 5 부피% 내지 약 40 부피%, 예를 들어 약 15 부피% 내지 약 25 부피%를 차지한다. 에칭 프로세싱 가스 도입 이전의 플라즈마 개시를 위한 플라즈마 충돌(striking)을 위해, 불활성 가스가 사용되는 프로세스 가스의 약 75 부피% 내지 약 100 부피%를 차지할 수도 있다.
150 mm x 150 mm 의 정사각형 포토리소그래픽 레티클을 에칭하는 경우에, 불활성 가스를 포함하는 프로세싱 가스의 총 유량이 약 40 sccm 내지 2100 sccm의 유량으로 챔버(100)내로 도입될 수 있다. 산소 함유 가스가 약 5 sccm 내지 약 1000 sccm, 예를 들어 약 20-50 sccm의 유량으로 프로세싱 챔버(100)로 도입될 수 있다. 염소 함유 가스는 약 25 sccm 내지 약 1000 sccm, 예를 들어 약 150-300 sccm의 유량으로 프로세싱 챔버(100)로 도입될 수 있다. 할로겐 함유 가스가 약 0 sccm 내지 약 100 sccm, 예를 들어 약 1-5 sccm의 유량으로 프로세싱 챔버(100)로 도입될 수 있다. 불활성 가스가 이용될 때, 약 5 sccm 내지 약 100 sccm, 예를 들어 약 20-45 sccm의 유량이 제공될 수 있다.
프로세싱 가스의 각각의 가스 유량 및 총 가스 유량은 프로세싱 챔버(100)의 크기, 프로세싱되는 기판(122)의 크기, 및 운전자가 요구하는 특정 에칭 프로파일과 같은 수 많은 프로세싱 인자들에 의해 달라질 수 있을 것이다.
일반적으로, 약 15000 Watts 이하의 소오스(source) RF 전력 레벨이 인덕터 코일에 인가되어 에칭 프로세스 중에 프로세싱 가스의 플라즈마를 생성하고 유지한다. 약 0 Watts 내지 약 1500 Watts의 전력 레벨, 예를 들어 약 0 내지 800 Watts 또는 약 300-350 Watts의 전력 레벨이 기판 표면을 에칭하기 위한 충분한 프로세싱 가스 플라즈마를 제공하는 것으로 관찰되었다. 전술한 소오스 RF 전력 레벨은 기판에 부착된 노출 금속 층을 에칭하기에 충분한 라디칼 및 폴리머화 라디칼을 프로세싱 가스로부터 생성하면서, 약 150 ℃ 이하의 기판 온도의 경우에 종래의 금속 에칭 프로세스에 비해 상당히 낮은 전력 레벨을 제공한다는 것이 관찰되었다.
일반적으로, 기판(122)의 표면에 대한 에칭 라디칼의 방향성(directionality)을 높이기 위해 약 200 Watts 미만의 바이어스 전력이 기판(122)에 인가된다. 약 100 Watts 미만의 바이어스 전력이 에칭 프로세스에 이용될 수 있다. 약 15 Watts 내지 20 Watts의 바이어스가 에칭 프로세싱 중에 에칭 라디칼의 충분한 방향성을 제공하는 것으로 관찰되었다
일반적으로, 프로세싱 챔버 압력은 약 1 밀리토르 내지 약 40 밀리토르에서 유지된다. 일 실시예에서, 에칭 프로세싱 중에 상기 압력은 약 3 밀리토르 내지 약 8 밀리토르로 유지될 것이다.
또한, 기판(122)은 프로세싱 중에 약 150℃ 이하의 온도에서 유지된다. 약 150℃ 이하의 기판 온도는 본 명세서에 기재된 프로세싱 가스를 이용한 포토리소그래픽 레티클 제조 프로세스 중에 기판상에 부착되는 레지스트 물질과 같은 물질의 열적 열화(劣化)을 최소화한다. 약 20 ℃ 내지 약 150 ℃, 예를 들어 약 20 ℃ 내 지 약 50 ℃의 기판 온도를 이용하여 기판 표면상에 부착된 물질의 열적 열화를 최소화하면서 포토마스크 피쳐를 에칭할 수 있을 것이다.
에칭 프로세스의 예가 이하에 설명된다. 기판(122)이 지지 부재(124)상에 배치되고, 본 명세서에 기재된 바와 같은 프로세싱 가스가 챔버(100)내로 도입되며, 산소 함유 가스, 염소 함유 가스, 및 할로겐 함유 가스로 이루어진 프로세싱 가스를 도입함으로써 그리고 그러한 프로세싱 가스로부터 플라즈마를 생성함으로써 금속 층(320)을 에칭하기 위한 플라즈마가 생성되고 유지된다. 에칭 프로세스 중에 프로세싱 가스의 플라즈마를 생성하고 유지하기 위해 소오스 RF 전력을 인덕터 코일에 인가함으로써 플라즈마가 발생된다. 바이어스 전력이 기판 지지부(124)에 인가된다. 프로세스 가스로부터 형성된 플라즈마를 제거하지 않고 이용하여, 임프린트된 레지스트 층(330B)의 리세스 영역을 통해서 그리고 금속 층(320)을 통해서 에칭하기 위한 에칭 프로세스가 실시된다. 선택적으로, 레지스트 및 금속 에칭 단계들 중에 프로세스 가스 성분들의 유동 비율이 서로 상이하게 조정될 수 있을 것이다. 금속 층(320) 에칭 프로세스의 종료점이 광학식 방출 종료점 제어부에 의해 모니터링될 수 있다.
그 대신에, 본 명세서에 기재된 바와 같은 ARC 물질이 금속 층상에 형성된다면, 그 ARC 물질은 금속 층 에칭 프로세스 중에 금속 층과 함께 제거될 수 있고 또는 금속 층 에칭 전의 에칭 프로세스에 의해 제거될 수 있다. ARC 에칭 프로세스 및 금속 층 에칭 프로세스의 예가 "포토마스크를 에칭하기 위한 다단계 프로세스"라는 명칭으로 2004년 3월 18일자로 출원된 미국 특허 출원 제 10/803,867 호에 보 다 구체적으로 개시되어 있다.
본 명세서에 기재된 에칭 프로세스는 임프린트된 피쳐 포토레지스트 층의 임계 치수를 크롬 층으로 양호하게 전사할 수 있다.
금속 층(320)의 에칭이 완료된 후에, 블록(260)에서 잔류하는 레지스트 물질 또는 층(330C)이 기판(122)으로부터 제거될 것이다. 레지스트 물질은, 도 3g에 도시된 바와 같이, 산소 플라즈마 프로세스 또는 기타 레지스트 제거 기술에 의해 제거될 수 있을 것이다.
선택적으로, 포토마스크를 통과하는 광의 해상도를 높임으로써 기판에 형성되는 에칭 패턴의 정확도를 높이기 위해, 감쇠(attenuating) 물질을 이용하여 감쇠 상 천이(attenuating phase shift) 포토마스크를 형성할 수 있을 것이다. 몰리브덴 실리사이드(MoSi) 또는 그 유도체와 같은 감쇠 물질이 불투명한 금속 층(320)과 광학적으로 투명한 기판 표면(310) 사이에 배치되고, 이어서 에칭될 수 있다. 감쇠 물질은 광학적으로 투명한 기판상에 부착되거나 또는 광학적으로 투명한 기판의 제조 중에 광학적으로 투명한 기판에 통합될 수 있다. 예를 들어, 감쇠 물질이 금속 층(320)의 부착에 앞서서 기판 표면상에 배치된다면, 블록(270)에서, 감쇠 물질은 하부의 물질을 노출시키기 위해 단계(250)에서 제 2 포토레지스트 물질을 패턴화된 금속 층(320)에 도포하고 패터닝함으로써 형성될 수 있다. 제 2 레지스트 물질은 전술한 바와 같이 또는 종래 기술에 의해 임프린팅하고 에칭함으로써 패턴화될 수 있을 것이다. 블록(280)에서, 감쇠 물질로 이루어진 하부 물질, 또는 노출된 기판 자체는, 적절한 경우에, 물질에 적합한 에칭 가스를 이용하여 에칭될 수 있다.
기판상의 감쇠 물질 및 실리콘계 물질과 같은 광학적으로 투명한 물질을 에칭하는 것의 일 예가 2002년 5월 21일자로 출원된 미국 특허 제 6,391,790 호 및 "포토리소그래픽 레티클을 에칭하는 방법"이라는 명칭의 2003년 5월 13일자 미국 특허 출원 제 10/437,729 호에 보다 구체적으로 개시되어 있다.
전술한 프로세싱 가스 조성 및 프로세싱 과정은 원하는 임계 치수를 가지는 개구부 또는 패턴의 제어가능한 에칭을 제공한다. 일반적으로, 개구부 또는 패턴의 에칭은 본 명세서에 기재된 프로세싱 가스를 이용할 때 비등방적으로 이루어진다. 비등방적 프로세스는 개구부의 측벽상의 물질 보다 더 빠른 속도로 개구부의 바닥상의 부착 물질을 제거한다. 이는, 개구부의 측벽상의 물질이 개구부의 바닥상의 물질 보다 느리게 제거될 수 있게 한다. 개구부의 측벽을 느린 속도로 에칭하는 에칭 프로세스는 측벽을 보다 덜 과다에칭할 것이며, 이는 에칭되는 개구부의 임계 치수가 보다 잘 유지될 수 있게 하며, 그에 따라 에칭 바이어스를 감소시킨다.
본 발명의 예시적인 특징들과 관련하여 설명하였지만, 본 발명의 다른 특징 및 추가적인 특징들도 특허청구범위에 의해 정해지는 본 발명의 범위내에서 이해될 수 있을 것이다.
도 1은 에칭 챔버의 일 실시예의 개략적인 단면도이다.
도 2는 본 발명의 일 실시예에 따른 기판 프로세싱에 대한 시퀀스의 일 실시예를 도시한 흐름도이다.
도 3a 내지 도 3g는 본 발명의 다른 실시예의 에칭 시퀀스를 도시한 단면도이다.

Claims (20)

  1. 포토리소그래픽 레티클을 프로세싱하는 방법으로서:
    광학적으로 투명한 기판상에 형성된 금속 포토마스크 층을 가지는 레티클상에 배치된 레지스트 물질 층에 몰드를 위치시키는 단계;
    상기 레티클상의 레지스트 물질을 경화하는 단계;
    상기 몰드를 제거하여 상기 경화된 레지스트 물질상에 임프린트를 남기는 몰드 제거 단계;
    상기 임프린트된 레티클을 프로세싱 챔버내의 지지 부재상에 위치시키는 단계;
    상기 금속 포토마스크 층의 일부분을 노출시키기 위해 상기 프로세싱 챔버내에 형성된 플라즈마를 이용하여 상기 임프린트된 레지스트 물질의 리세스 영역을 에칭하는 단계; 및
    상기 플라즈마를 이용하여 상기 임프린트된 레지스트 물질을 통해 금속 포토마스크 층의 노출된 부분들을 에칭하는 단계를 포함하는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  2. 제 1 항에 있어서,
    상기 경화 단계가:
    상기 몰드와 접촉을 유지하는 레지스트 물질을 경화시키기 위해 상기 레지스트 물질을 경화시키는 에너지를 상기 몰드를 통해 전달하는 단계를 더 포함하는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  3. 제 1 항에 있어서,
    상기 임프린트된 레지스트 물질의 리세스 영역을 에칭하는 단계가:
    플라즈마 가스를 형성하기 위한 제 1 가스 혼합물을 상기 프로세싱 챔버로 제공하는 단계를 더 포함하며,
    상기 제 1 가스 혼합물이 산소 함유 가스, 할로겐 함유 가스 및 염소 함유 가스를 포함하는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  4. 제 3 항에 있어서,
    상기 임프린트된 레지스트 물질의 리세스 영역을 에칭하는 단계가:
    상기 제 1 가스 혼합물내에 불활성 가스를 제공하는 단계를 더 포함하고,
    상기 불활성 가스가 총 가스 혼합물의 약 5 퍼센트 내지 약 40 퍼센트를 차지하는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  5. 제 3 항에 있어서,
    상기 금속 포토마스크 층의 노출된 부분들을 에칭하는 단계가:
    플라즈마를 형성하기 위한 제 2 가스 혼합물을 상기 프로세싱 챔버내로 제공하는 단계를 더 포함하고,
    상기 제 2 가스 혼합물이 산소 함유 가스, 할로겐 함유 가스 및 염소 함유 가스를 포함하는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  6. 제 5 항에 있어서,
    상기 임프린트된 레지스트 물질의 리세스 영역을 에칭하는 단계 및 상기 금속 포토마스크 층의 노출된 부분들을 에칭하는 단계가 상기 프로세싱 챔버내에서 인-시츄(in-situ) 방식으로 실시되는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  7. 제 1 항에 있어서,
    상기 에칭된 금속 포토마스크 층상에 레지스트 물질의 제 2 층을 도포하는 단계;
    감쇠 물질을 노출시키기 위해 상기 레지스트 물질의 제 2 층을 패터닝하는 단계; 및
    상기 패턴화된 레지스트 물질의 제 2 층을 통해 감쇠 물질의 노출된 부분을 플라즈마 에칭하여 상-천이 포토마스크를 형성하는 단계를 더 포함하는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  8. 제 7 항에 있어서,
    상기 레지스트 물질의 제 2 층을 패터닝하는 단계가:
    상기 레지스트 물질의 제 2 층을 임프린팅하는 단계; 및
    상기 레지스트 물질의 임프린팅된 제 2 층의 리세스 영역을 에칭하여 감소 물질의 일부분을 노출시키는 단계를 더 포함하는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  9. 포토리소그래픽 레티클을 프로세싱하는 방법으로서:
    광학적으로 투명한 기판상에 형성된 금속 포토마스크 층 및 상기 금속 포토마스크 층상에 도포되고 임프린트된 레지스트 물질을 가지는 레티클을 프로세싱 챔버내의 지지 부재상에 위치시키는 단계;
    산소 함유 가스, 염소 함유 가스, 및 할로겐 함유 가스를 포함하는 프로세싱 가스를 상기 프로세싱 챔버내로 도입하는 단계;
    상기 금속 포토마스크 층의 일부분을 노출시키기 위해 상기 프로세싱 가스로부터 형성된 플라즈마를 이용하여 상기 임프린트된 레지스트 물질의 리세스 영역을 에칭하는 단계; 및
    상기 플라즈마를 이용하여 상기 임프린트된 레지스트 물질을 통해 금속 포토마스크 층의 노출된 부분들을 에칭하는 단계를 포함하는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  10. 제 9 항에 있어서,
    상기 임프린트된 레지스트 물질의 리세스 영역을 에칭하는 단계가:
    산소 함유 가스, 할로겐 함유 가스 및 염소 함유 가스로부터 플라즈마를 형성하는 단계를 더 포함하는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  11. 제 10 항에 있어서,
    상기 임프린트된 레지스트 물질의 리세스 영역을 에칭하는 단계가:
    산소 함유 가스, 할로겐 함유 가스 및 염소 함유 가스와 함께 불활성 가스를 제공하는 단계를 더 포함하고,
    상기 불활성 가스가 상기 플라즈마를 형성하는데 이용되는 총 가스 부피의 약 5 내지 약 40 부피퍼센트를 차지하는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  12. 제 10 항에 있어서,
    상기 플라즈마가 불활성 가스를 실질적으로 포함하지 않는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  13. 제 10 항에 있어서,
    상기 금속 포토마스크 층의 노출된 부분들을 에칭하는 단계가:
    산소 함유 가스, 할로겐 함유 가스 및 염소 함유 가스로부터 플라즈마를 형성하는 단계를 더 포함하는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  14. 제 9 항에 있어서,
    상기 임프린트된 레지스트 물질의 리세스 영역을 에칭하는 단계 및 상기 금 속 포토마스크 층의 노출된 부분들을 에칭하는 단계가 상기 프로세싱 챔버내에서 인-시츄(in-situ) 방식으로 실시되는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  15. 제 9 항에 있어서,
    상기 에칭된 금속 포토마스크 층 상에 레지스트 물질의 제 2 층을 도포하는 단계;
    감쇠 물질을 노출시키기 위해 상기 레지스트 물질의 제 2 층을 패터닝하는 단계; 및
    상기 패턴화된 레지스트 물질의 제 2 층을 통해 감쇠 물질의 노출된 부분을 플라즈마 에칭하여 상-천이 포토마스크를 형성하는 단계를 더 포함하는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  16. 제 15 항에 있어서,
    상기 레지스트 물질의 제 2 층을 패터닝하는 단계가:
    상기 레지스트 물질의 제 2 층을 임프린팅하는 단계를 더 포함하는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  17. 포토리소그래픽 레티클을 프로세싱하는 방법으로서:
    광학적으로 투명한 기판상에 형성된 금속 포토마스크 층 및 상기 금속 포토마스크 층 상에 도포되고 임프린트된 레지스트 물질을 가지는 레티클을 제공하는 단계;
    제 1 에칭 단계 중에 상기 금속 포토마스크 층의 일부분을 노출시키기 위해 상기 임프린트된 레지스트 물질의 리세스 영역을 에칭하는 단계; 및
    제 2 에칭 단계 중에 상기 임프린트된 레지스트 물질을 통해 상기 금속 포토마스크 층의 노출된 부분들을 에칭하는 단계를 포함하며,
    상기 제 1 및 제 2 에칭 단계들 중 하나 이상은 산소 함유 가스, 염소 함유 가스, 및 할로겐 함유 가스를 포함하는 프로세싱 가스로부터 형성된 플라즈마를 이용하는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  18. 제 17 항에 있어서,
    산소 함유 가스, 염소 함유 가스, 및 할로겐 함유 가스를 포함하는 프로세스 가스가 상기 제 1 에칭 단계 및 상기 제 2 에칭 단계 모두에서 이용되는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  19. 제 17 항에 있어서,
    상기 제 1 및 제 2 에칭 단계들 모두에서 이용되는 프로세스 가스가 산소 함유 가스, 염소 함유 가스, 및 할로겐 함유 가스의 상이한 비율을 가지는
    포토리소그래픽 레티클을 프로세싱하는 방법.
  20. 제 17 항에 있어서, 상기 제 1 및 제 2 에칭 단계들이 동일한 프로세싱 챔버내에서 실시되는
    포토리소그래픽 레티클을 프로세싱하는 방법.
KR1020070087537A 2006-11-02 2007-08-30 에칭 반응기를 이용한 나노-임프린트 템플릿의 에칭 KR20080040556A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US86415106P 2006-11-02 2006-11-02
US60/864,151 2006-11-02
US11/836,258 US7955516B2 (en) 2006-11-02 2007-08-09 Etching of nano-imprint templates using an etch reactor
US11/836,258 2007-08-09

Publications (1)

Publication Number Publication Date
KR20080040556A true KR20080040556A (ko) 2008-05-08

Family

ID=38982789

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070087537A KR20080040556A (ko) 2006-11-02 2007-08-30 에칭 반응기를 이용한 나노-임프린트 템플릿의 에칭

Country Status (7)

Country Link
US (1) US7955516B2 (ko)
EP (1) EP1918776B1 (ko)
JP (1) JP5265174B2 (ko)
KR (1) KR20080040556A (ko)
CN (1) CN101174086B (ko)
SG (1) SG142213A1 (ko)
TW (1) TWI391987B (ko)

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011095217A1 (en) * 2010-02-05 2011-08-11 Obducat Ab Method and process for metallic stamp replication for large area nanopatterns
TWI386304B (zh) * 2010-06-25 2013-02-21 Hon Hai Prec Ind Co Ltd 奈米壓印方法
WO2012109572A1 (en) * 2011-02-11 2012-08-16 Brookhaven Science Associates, Llc Technique for etching monolayer and multilayer materials
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US10126648B2 (en) * 2012-05-25 2018-11-13 Micro Resist Technology Gesellschaft Für Chemische Materialien Spezieller Protoresistsysteme Gmbh Composition suitable for use as a release-optimized material for nanoimprint processes and uses thereof
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9281190B2 (en) * 2013-03-13 2016-03-08 Applied Materials, Inc. Local and global reduction of critical dimension (CD) asymmetry in etch processing
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9793127B2 (en) * 2013-11-13 2017-10-17 Taiwan Semiconductor Manufacturing Company Limited Plasma generation and pulsed plasma etching
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101593601B1 (ko) * 2014-04-03 2016-02-15 한국전자통신연구원 베이스 몰드 및 몰드의 제조방법
CN103984204A (zh) * 2014-05-22 2014-08-13 苏州锦元纳米科技有限公司 一种润滑薄膜的制备方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6525567B2 (ja) * 2014-12-02 2019-06-05 キヤノン株式会社 インプリント装置及び物品の製造方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9396956B1 (en) * 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6859088B2 (ja) * 2016-12-14 2021-04-14 エイブリック株式会社 半導体装置の製造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6506785B2 (ja) * 2017-02-02 2019-04-24 株式会社Kokusai Electric リソグラフィ用テンプレートの製造方法、プログラム及び基板処理装置
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN109659738B (zh) * 2017-10-10 2021-03-09 泰科电子(上海)有限公司 导电端子的触点保护层及其形成方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169122A1 (en) * 2018-03-02 2019-09-06 Tokyo Electron Limited Method to transfer patterns to a layer
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220326611A1 (en) * 2021-04-13 2022-10-13 Applied Materials, Inc. Nanoimprint and etch fabrication of optical devices
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0844042A (ja) * 1994-07-29 1996-02-16 Olympus Optical Co Ltd 透過率変調型フォトマスク及びその製造方法及びそれを用いた光学素子の製造方法
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
TW575786B (en) * 2000-03-14 2004-02-11 Takashi Nishi Exposure controlling photomask and production method thereof
US6391790B1 (en) * 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
WO2001096955A2 (en) * 2000-06-15 2001-12-20 Applied Materials, Inc. A method and apparatus for etching metal layers on substrates
JP3760086B2 (ja) * 2000-07-07 2006-03-29 株式会社ルネサステクノロジ フォトマスクの製造方法
JP2002131883A (ja) * 2000-10-27 2002-05-09 Hitachi Ltd フォトマスクの製造方法およびフォトマスク
US6960413B2 (en) * 2003-03-21 2005-11-01 Applied Materials, Inc. Multi-step process for etching photomasks
JP4133580B2 (ja) 2003-05-21 2008-08-13 独立行政法人科学技術振興機構 圧電材料の加工方法
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
JP4322096B2 (ja) * 2003-11-14 2009-08-26 Tdk株式会社 レジストパターン形成方法並びに磁気記録媒体及び磁気ヘッドの製造方法
CN1619417A (zh) * 2003-11-21 2005-05-25 奥博杜卡特股份公司 多层超微压印平版印刷
KR20050075580A (ko) * 2004-01-16 2005-07-21 엘지전자 주식회사 나노 임프린트 리쏘그라피를 이용한 대면적 스탬프 제작방법
TWI366218B (en) 2004-06-01 2012-06-11 Semiconductor Energy Lab Method for manufacturing semiconductor device
US20080050659A1 (en) * 2004-09-30 2008-02-28 Japan Science And Technology Agency Method of Patterning Self-Organizing Material, Patterned Substrate of Self-Organizing Material and Method of Producing the Same, and Photomask Using Patterned Substrate of Self-Organizing Material
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
JPWO2006112062A1 (ja) * 2005-03-30 2008-11-27 日本ゼオン株式会社 樹脂型及びそれを用いた成形体の製造方法
JP2007114451A (ja) * 2005-10-20 2007-05-10 Hoya Corp マスクブランクス、および転写マスクの製造方法

Also Published As

Publication number Publication date
TWI391987B (zh) 2013-04-01
JP2008146029A (ja) 2008-06-26
SG142213A1 (en) 2008-05-28
US20080105649A1 (en) 2008-05-08
CN101174086B (zh) 2014-02-12
JP5265174B2 (ja) 2013-08-14
EP1918776A1 (en) 2008-05-07
US7955516B2 (en) 2011-06-07
CN101174086A (zh) 2008-05-07
EP1918776B1 (en) 2013-04-24
TW200822185A (en) 2008-05-16

Similar Documents

Publication Publication Date Title
JP5265174B2 (ja) エッチングリアクタを用いたナノ−インプリントテンプレートのエッチング
KR100944846B1 (ko) 마스크 에칭 프로세스
US7521000B2 (en) Process for etching photomasks
US7371485B2 (en) Multi-step process for etching photomasks
US7829243B2 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US7790334B2 (en) Method for photomask plasma etching using a protected mask
US20040072081A1 (en) Methods for etching photolithographic reticles
JP2006215552A5 (ko)
JP2007096295A (ja) フォトマスクを製造するのに適した炭素ハードマスクを介してクロム層をプラズマエッチングする方法
US6534417B2 (en) Method and apparatus for etching photomasks
JP2004503829A (ja) 基板上のメタル層をエッチングする方法および装置
US20030003374A1 (en) Etch process for photolithographic reticle manufacturing with improved etch bias
US20040000535A1 (en) Process for etching photomasks

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application